Vous êtes sur la page 1sur 2

Speed Test and Speed Binning for DSM Designs

Guest Editors Introduction:


Speed Test and Speed
Binning for Complex ICs
Kenneth M. Butler Kwang-Ting (Tim) Cheng and Li-C. Wang
Texas Instruments University of California, Santa Barbara

SPEED IS CRUCIAL for todays semiconductor prod- reduction. For speed binning of high-performance parts,
ucts and can be a differentiator among suppliers vying for high-quality transition fault testing is necessary, and
the same market. Of course, the rst step is to design the structural delay testing requires a careful design of clock
product with the performance requirements in mind. But schemes to avoid potential yield loss.
the second step is equally important: testing and charac- Next, Xijiang Lin et al. from Mentor Graphics discuss
terizing the device to guarantee that it fully meets cus- improved features in an ATPG tool for structural delay
tomer performance expectations. Conducting this testing testing. They begin by discussing how to use on-chip
thoroughly for complex devices fabricated in deep-sub- phase-locked loop (PLL) clock generator circuitry to cre-
micron (DSM) designsnow in the neighborhood of 130- ate at-speed scan patterns. They provide a detailed exam-
nm technologies and belowis becoming increasingly ple to illustrate how to model a PLL in the ATPG tool, and
more difcult. But its not just microprocessor manufac- how to devise the clock scheme in at-speed scan testing.
turers that are using at-speed tests these days. Increasingly, They then propose an approach that combines stuck-at
more manufacturers of all types of ICs are aggressively and transition fault tests to improve test quality and
moving to add at-speed tests to their portfolio. reduce tester memory requirements. The goal is to
This special issue focuses on the important problem achieve a desirable stuck-at coverage level with reason-
of speed test and speed binning of digital ICs. Topics in ably high transition fault coverage. The second half of the
this issue span the entire design and fabrication process article presents a case study of a large industrial design.
of an IC, from initial design through production test and The authors classify transition fault coverage within each
characterization. clock domain and between clock domains, and they dis-
First, Intels Kee Sup Kim, Subhasish Mitra, and Paul cuss combining stuck-at and transition fault tests. They
G. Ryan provide a comprehensive survey of delay test- conclude that using an on-chip clock generator for at-
ing techniques for high-performance designs. They begin speed structural test is cost-effective.
by presenting manufacturing data to illustrate the neces- In the third article, LogicVisions Stephen Pateras
sity of delay testing. In particular, they show that 500 describes BIST implementation techniques to achieve
parts per million would not be achievable without delay structural at-speed testing, and he addresses important
testing. They then discuss how to differentiate between timing setup issues from a logic BIST perspective. These
delay problems due to manufacturing variations and issues can occur at the interfaces between a logic BIST
those from random defects. Any strategy for speed bin- controller and scan chains, or between ip-ops in dif-
ning must consider random defects. Moreover, a struc- ferent clock domains. There are also timing issues in dis-
tural test strategy, even running at a lower speed, can still tributing the scan-enable signal, handling multicycle
play an important role in screening random delay paths, and dealing with different frequency domains.
defects. Finally, they discuss two important issues in Pateras proposes logic-BIST-based techniques to address
structural testing: avoiding the adverse impact of a time- each timing issue. He concludes that logic BIST is a eld-
borrowing design style on signal propagation, and deliv- proven approach for at-speed structural test applications.
ering test patterns with compression for test memory Next, Al Crouch of Inovys Corp. addresses the prag-

6 0740-7475/03/$17.00 2003 IEEE Copublished by the IEEE CS and the IEEE CASS IEEE Design & Test of Computers
matic application of structured delay tests. This articles age. They also make several observations related to
scope stretches all the way from early design (how to set yield impact, overkill rate, and failure analysis.
up the environment for static timing analysis) to post-sil-
icon (how to debug design timing by using various struc-
tured delay tests). Crouch addresses the question of THESE SIX ARTICLES provide an excellent summary of
when to use transition versus path delay tests, and he the state of the art in at-speed testing. We hope you
illustrates a practical procedure for ranking paths and enjoy this special issue.
selecting those for which either type of test generation is
appropriate. Coverage, particularly path coverage, is Kenneth M. Butler is a Fellow in
another important question that he addresses in the con- the ASIC Division of Texas Instru-
text of a proposed overall test generation ow that relies ments. His research interests include
on handshaking between various design tools. This ow DFT, ATPG, automated diagnosis, test
emphasizes intelligent path selection and test generation quality, and test economics. Butler has
that occur in parallel. Crouch addresses layout aspects a BSEE from Oklahoma State University; and an MSE
and their effect on path length and criticality. He provides and PhD from the University of Texas at Austin, both in
examples of debugging situations, and he summarizes electrical engineering. He is a senior member of the
the proposed systems effect on ramping a new design. IEEE and a member of the IEEE Computer Society.
In the fth article, Bruce Cory, Rohit Kapur, and Bill
Underwood represent a joint experimental work Kwang-Ting (Tim) Cheng is a
between nVidia and Synopsys. In todays industrial professor of electrical and computer
practice, it is important to ask whether its possible to engineering at the University of Cali-
remove functional tests dependency on speed binning fornia, Santa Barbara, and associate
by employing a more cost-effective, structural, at-speed editor in chief of IEEE Design & Test.
test approach. With this question in mind, the authors His research interests include VLSI testing, design ver-
show that speed binning results obtained from struc- ification, and multimedia computing. Cheng has a BS
tural testing of selected critical paths correlates closely in electrical engineering from National Taiwan Univer-
with results from functional testing. The authors then sity, and a PhD in electrical engineering and comput-
offer a formula that relates critical-path testing frequen- er science from the University of California, Berkeley.
cy to system-operation frequency. Although these He is a Fellow of the IEEE.
results might be design and frequency dependent, this
work demonstrates the possibility of replacing func- Li-C. Wang is a faculty member of the
tional testing with structural testing in speed binning. It Electrical and Computer Engineering
should inspire future studies to delineate a clear bound- Department at the University of Califor-
ary between the applications of the two approaches. nia, Santa Barbara. His research inter-
Finally, Bob Madge and Brady Benware of LSI Logic ests include delay test and diagnosis,
and Rob Daasch of Portland State University tackle the timing validation, defect-oriented testing, and verifica-
effectiveness of structured delay tests for defect detec- tion. Wang has a BS in computer engineering from
tion across a wide spectrum of operating conditions. It National Chiao-Tung University, Taiwan; and an MS in
is common to apply most IC tests at varying voltages and computer science and a PhD in electrical and computer
temperatures, and to sometimes modulate the fre- engineering, both from the University of Texas at Austin.
quency as well. All these variations, particularly fre-
quency modulation, can have an unknown effect on Direct questions and comments about this issue to
the quality of the overall test results. Although maxi- Kwang-Ting (Tim) Cheng, Room 4109, Engineering I,
mizing outgoing quality is desirable, doing so with min- Department of Electrical and Computer Engineering,
imal yield loss is equally important. The authors detail University of California, Santa Barbara, CA 93106-
their approach for test generation and application on 9560; timcheng@ece.ucsb.edu.
silicon, comparing defect detection for patterns from
different test generation strategies. They apply patterns For further information on this or any other computing
at various operating frequencies to empirically derive a topic, visit our Digital Library at http://computer.org/
relationship between test frequency and defect cover- publications/dlib.

SeptemberOctober 2003
7

Vous aimerez peut-être aussi