Vous êtes sur la page 1sur 8

1320 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO.

5, MAY 2012

Simulation of Fabricated 20-nm Schottky Barrier


MOSFETs on SOI: Impact of Barrier Lowering
J. L. Padilla, L. Knoll, F. Gámiz, Senior Member, IEEE, Q. T. Zhao, Member, IEEE,
A. Godoy, Member, IEEE, and S. Mantl, Member, IEEE

Abstract—In this paper, we develop a procedure to include in


device simulators the barrier lowering (BL) effects that appear
in the drain and source contacts of Schottky barrier MOSFETs
(SB-MOSFETs). We have checked it reproducing experimen-
tal results of 20-nm gate-length SB-MOSFETs with NiSi and
epitaxial NiSi2 S/D contacts. We make use of the Wentzel–
Kramers–Brillouin (WKB) approximation to get the tunneling
probabilities through the lowered barriers along with an appropri-
ate calibration of the effective masses which compensates to a large
extent the lack of accuracy of the WKB model when diverting
from the “wide barrier” assumption. A vertical discretization of
the channel is also included to allow the barrier height depen-
dence on the depth inside the channel. We show that corrected Fig. 1. Schematic cross section (not to scale) of the NiSi/epitaxial NiSi2
simulations including this effect describe in a very accurate way SB-MOSFETs simulated in this work along with their dimensions.
the behavior of these devices. We also check that the striking
experimental observation of tunneling current reduction at very
short gate lengths is also obtained, in contrast to the scaling ical channel lengths taking advantage of the abruptness of
behavior of conventional MOSFETs. We successfully explain this metal–semiconductor junctions. These contacts should there-
fact invoking the modification of the potential inside the channel, fore increase the performance in short-channel devices. How-
i.e., the overlapping of source and drain potential profiles leads to ever, it has been recently found [1] that the overlapping of
an increase of its total value even though BL mechanisms tend to source and drain potential profiles increases the potential inside
decrease it in the vicinity of the contacts.
the channel thus limiting the carrier injection from the source
Index Terms—Barrier lowering, metallic source/drain (S/D), and degrading the I–V characteristics. Simulated results pre-
nanotechnology, Schottky barriers, semiconductor device model- sented in this paper also show this trend.
ing, Wentzel–Kramers–Brillouin (WKB) method.
In conventional SB-MOSFETs, the metal–semiconductor
junction is formed by depositing a silicide instead of a metal
I. I NTRODUCTION
since the former is easier to form with a silicon-compatible

D URING THE LAST years, a renewed interest has


been observed in Schottky barrier (SB) MOSFETs
(SB-MOSFETs). These devices differ from conventional
process. Some common silicides used for this purpose are PtSi,
NiSi, Ni1−x Ptx Si, epitaxial NiSi2 , and rare earth silicides such
as ErSi, ErSi2 , or DySi2−x . Large barrier heights (BHs) of some
MOSFETs because they introduce metallic contacts in both silicides produce lower OFF-state thermal leakage but limit the
source and drain in place of conventional doped semiconduc- drive current and subthreshold swing [2], [3]. A convenient
tor regions creating rectifying metal–semiconductor junctions choice would include a silicide presenting low inversion-mode
or SBs. The main benefits expected from these devices are BH as a key issue for a good ON-state while trying to minimize
the reduction of source/drain resistance, an increased immu- the OFF-state current through a thin-body region or a high-
nity to process variation due to the elimination of dopants body doping. For n-channel SB-MOSFETs, rare earth silicides
in the contacts, or the possibility to define very short phys- have the lowest electron BH among the known silicides [4]
but also tend to be very sensitive to interface state density
[5]–[10] and present large inhomogeneities at the rare earth
Manuscript received October 21, 2011; revised December 20, 2011 and
January 13, 2012; accepted February 2, 2012. Date of publication March 9,
silicide/Si contacts causing larger values of Ioff . For p-channel
2012; date of current version April 25, 2012. This work was supported in SB-MOSFETs, however, platinum silicide is preferred because
part by the Junta de Andalucía under Research Project TIC2010-6902 and of its very low BH for holes [11].
in part by the Spanish Government under Research Projects FIS2008-05805
and TEC2008-06758-C02-01. The review of this paper was arranged by Editor
In this paper, we have studied the behavior and performance
Y. Momiyama. of SB-MOSFETs on SOI with NiSi and epitaxial NiSi2 S/D
J. L. Padilla, F. Gámiz, and A. Godoy are with the Departamento de by comparing experimental results and simulated data. These
Electrónica y Tecnología de los Computadores, Universidad de Granada, 18071
Granada, Spain (e-mail: jluispt@ugr.es). silicides have BH for electrons of φbn = 0.65 eV and φbn =
L. Knoll, Q. T. Zhao, and S. Mantl are with the Peter Grünberg Institute 0.37 eV, respectively, which leads to an ambipolar switching
9 (PGI 9-IT), Forschungszentrum Jülich, 52425 Jülich, Germany. behavior, which is greater in the case of NiSi.
Color versions of one or more of the figures in this paper are available online
at http://ieeexplore.ieee.org. The devices studied in this work were fabricated at
Digital Object Identifier 10.1109/TED.2012.2187657 Forschungszentrum Jülich [12] and are shown in Fig. 1. More

0018-9383/$31.00 © 2012 IEEE


PADILLA et al.: SIMULATION OF FABRICATED 20-nm SCHOTTKY BARRIER MOSFETs ON SOI 1321

An initial approach [21] suggested that DL could be directly


proportional to the interface electric field as

Δφb,dl = αFx (y). (2)

However, more recently [22], this empirically based depen-


dence has been thought to be described by

Fig. 2. Modification of the height and shape of SB for electrons flow due to
Δφb,dl = α [Fx (y)]γ (3)
image force and DL.
where α and γ have to be fitted empirically.
details of the fabrication process are given hereinafter. We An alternative formulation [23] based on the formalism of
perform simulations that reproduce the main aspects of gate Heine tails accounts for DL as
length scaling investigating the importance of technological
 
parameters such as the underlap that appears between the βQs λ −xm
silicide and the gate (increasing the parasitic resistance) or the Δφb,dl = exp (4)
 λ
barrier lowering (BL) processes that affect the BH producing
higher currents for increasing gate voltages. where xm is the position of maximum potential, β is the
In Section II, we summarize the main mechanisms con- fraction of ionized dopants on the silicon side of the junction
cerning BL in SB. In Section III, we present an intermediate that contribute to the effect, λ is the Heine tail length, and Qs
approach that allows to keep using the Wentzel–Kramers– is the areal charge density on the silicon side. In this model,
Brillouin (WKB) approximation (and, therefore, existing de- realistic values for λ and β are fitted from experimental data.
vice simulators). In Section IV, fabrication details of the studied Both image force and DL have an electric field dependence;
devices are given. Section V is devoted to the discussion however, the electric field at the interface depends on the shape
and comparison between experimental and simulated results. of the SB which is, in turn, controlled by the gate and influenced
Finally, in Section VI, the main conclusions are drawn. by short-channel effects due to the previously commented
overlap between source and drain potential profiles. Thus, a
II. BARRIER L OWERING IN S CHOTTKY C ONTACTS self-consistent solution to the potential at and near the contacts
is required. Furthermore, as the barrier modulation affects its
There exist two contributions appearing in Schottky contacts thickness, the tunneling probability used when field emission
that alter the shape and height of the barrier, as shown for begins to appear would be also modified, and that would force
the case of electrons in Fig. 2. The first is a dynamic effect this consistency to account for it too. Unfortunately, as ex-
consequence of the presence of charge carriers in the vicinity plained in [23], such a self-consistent BL calculation including
of a metallic surface. It is called image force lowering (IFL) field emission is not currently implemented in TCAD software
because the classical treatment to account for it implies the (for example, [22] and [24]).
presence of an image potential acting upon the carriers. The Recent simulations [18] using Sentaurus device simulator
expression describing this mechanism is given by [13] implement BL mechanisms using the model presented in [17]
 and slightly modified in [23]. We use Silvaco ATLAS with field
qFx (y) emission current described by the universal Schottky tunneling
Δφb,ifl = (1)
4πs (UST) approach presented in [25] and [26] where the tunnel-
ing probability is calculated using the WKB approximation
where Fx (y) is the electric field perpendicular to the interface which assumes a triangular potential profile. The use of this
and the y-direction goes down vertically from the top of the approximation has been commonly accepted [25], [27], [28]. In
channel. our case, BL estimations applied to both thermionic and field
The second one is called dipole lowering (DL). Originally, emissions are externally calculated (a detailed discussion for
this effect was explained in terms of interfacial states be- this can be found in [23]) to the ATLAS simulator.
tween the semiconductor and the metal due to an oxide layer It is worth noting that, for high gate biases, the “wide barrier”
appearing between them [14]–[16]. However, this effect also assumption, inherent in the WKB approximation, begins to be
appears in atomically clean and abrupt interfaces indicating less precise [29]. As a possible solution, it has been proposed
that DL has another contribution coming from the quantum- [30] that the accuracy of the WKB model could be extended if
mechanical solution to the equilibrium charge distribution of BL were excluded or, if BL were included, at the expense of
an ideal metal–semiconductor junction, which shows a cer- excluding thermal current. However, these solutions are hardly
tain penetration—the so-called Heine tails—of electronic wave justifiable from a physical point of view. Instead of that, an
functions from the metal into the forbidden gap of the semicon- alternative Airy-transfer-matrix (ATM) formalism [30], [31]
ductor [17], [18]. The result is the formation of metal-induced was shown to be more precise. This formalism has a higher
gap states [19], [20] and the appearance of a dipole layer at the complexity and is not currently implemented in commercial
interface which consequently makes the BH decrease. simulators.
1322 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 5, MAY 2012

Fig. 3. Conduction band profile for the NiSi device. Vgs = 1.0 V and
Vds = 0.3 V.

III. S IMULATION P ROCEDURE


We propose a tradeoff that allows to keep the widely used
WKB model correcting its deviations through an adequate
fitting of the carrier effective tunneling masses, used in the
estimation of the tunneling probabilities, along with a vertical Fig. 4. (a) Drain currents for Vd = 0.1, 0.3, and 0.5 V in the device with
discretization of the channel. This vertical discretization makes epitaxial NiSi2 . Solid lines represent simulated characteristics including BL,
and symbols stand for experimental data. (b) Profiles of the source/drain SBs
it possible to account for the BH dependence on the depth at 0.5 nm from the channel interface corresponding to (left, Vg = 1.4 V, Vd =
inside the channel, which may be of considerable importance in 0.1 V) electrons and (right, Vg = −1.0 V, Vd = 0.1 V) holes (dashed lines)
relative terms particularly for small barrier values. In Fig. 3, we without BL and (solid lines) with our BL estimation.
show an example of the conduction band profile that enlightens
IV. D EVICE FABRICATION
this dependence in the NiSi SB-MOSFET.
As mentioned in the introduction, BL is estimated externally The 20-nm gate-length SB-MOSFET fabrication process is
to the Silvaco ATLAS simulator through an iterative procedure reported in [1]. The transistor consists of 15-nm SOI channel,
that recalculates for each iteration the BH using the electric field a 5-nm-thick HfO2 gate dielectric layer, and a TiN gate. The
value extracted from the previous step. This process is repeated source/drain contacts were formed with 10-nm-thick NiSi or
until variations from one iteration to the next (in terms of Δφ) epitaxial NiSi2 layers as we have recently reported [12]. The
are not significant. fast diffusion of Ni induces a large encroachment of NiSi after
The channel is vertically divided in several layers parallel to annealing which causes serious variability of the devices when
the semiconductor/insulator interface, and the electric field is the silicide layer is thick. The ultrathin silicide formation using
extracted for each one of them very close to the contacts. The very thin Ni layer avoids this problem. A small misalignment
role of the mesh here is crucial. Next to the contacts, it has to is caused due to the shadowing effect of the gate during Ni
be extremely fined because, otherwise, small fluctuations in the deposition, resulting in a small gap of 5 nm between the channel
slope of the potential may occur from one iteration to the next, and the silicide, as schematically shown in Fig. 1, which
making the resultant value of the electric field not to converge will be considered in our simulations. The measured BH for
in the iterative procedure indicated earlier. A tradeoff has to be electrons is 0.65 eV for NiSi and 0.37 eV for epitaxial NiSi2 on
assumed between the refining of the mesh, which determines n-Si(100) [32].
the minimum distance of the contacts at which the electric field
can be extracted assuring convergence (in our case, typically of
V. S IMULATED C HARACTERISTICS AND
order 1 nm), and the computational cost in time that it implies.
E XPERIMENTAL DATA
Preliminary simulations for our devices including both IFL
and DL seemed to suggest that the contribution of the latter to In Fig. 4(a), we show the transfer characteristics of the
the total BL was considerably lower than that due to the first. epitaxial NiSi2 S/D SB-MOSFETs with Lg = 20 nm. We see
An explanation to this may be found in the abruptness of the that the n-channel current is higher than the p-channel one
metal–semiconductor junctions that eliminates the contribution which agrees with the BHs for electrons reported in Sections I
of interfacial states to the DL effect. For this reason, in what and IV. Solid lines stand for the simulated currents with tunnel-
follows, DL will be assumed to be included through the fitting ing masses of mh = 0.46 and me = 0.8 which are higher than
of the tunneling effective masses, thus eliminating two fitting those reported, for example, in [18], [23], and [30]. Fig. 4(b)
parameters, without losing too much accuracy. shows how our BL procedure modifies the source/drain barriers
PADILLA et al.: SIMULATION OF FABRICATED 20-nm SCHOTTKY BARRIER MOSFETs ON SOI 1323

Fig. 5. Simulated transfer characteristics with BL of the epitaxial NiSi2 Fig. 6. Comparison between simulated transfer characteristics of the epitaxial
SB-MOSFET for Vd = 0.1, 0.5 V including (dashed lines) only thermionic NiSi2 SB-MOSFET for Vd = 0.5 V (solid line) with discretization and (dashed
emission and (solid lines) both thermionic and field emissions. Inset shows the and dashed-dotted lines) without it. Triangles stand for experimental data.
relative importance of tunneling current over the total current.

for electrons and holes. Note that, as the BL treatment is exter-


nal to the simulator, this estimation is not fully self-consistent,
leading to a consideration of the barriers as abrupt changes
in potential which was already mentioned in [23]. Therefore,
the resulting potential profiles after BL slightly divert from
the theoretical more rounded ones. This issue, along with the
current overestimation arising from the WKB approximation,
may help to understand the higher values for effective tunneling
masses used in our simulations.
In the right branch of Fig. 4(a), that of electrons, we have
marked the gate voltage values corresponding to flatband con-
dition in the source edge and at the bottom of the channel
(where flatbands first occur when increasing the gate bias) for
different values of Vd . These points represent the limit where
tunneling begins to appear when we increase Vg . Note how the Fig. 7. Simulated electron BH dependence on Vgs for several depths go-
simulations (including BL) fit very well the region dominated ing down the metal–semiconductor junction at the source in the NiSi2
SB-MOSFET. Recall that, for this device, zero-bias BH was φbn = 0.37 eV.
by tunnel current but show certain deviation from experimental Note how flatband condition is first reached at the bottom of the contact.
results in the case of pure thermionic emission for electrons. If
we now focus on the left side, hole current has tunneling and compare them to the discretized curve (solid line) and ex-
thermionic contribution in the entire range of Vg , and thus, we perimental data (triangle markers). In the n-channel with no
do not observe significant deviations in the simulated currents. discretization, if we use the value of the electric field in the
In order to quantify the relative importance of both uppermost part of the junctions to calculate BL, the resultant
thermionic and field emission contributions when BL is in- curve (dashed-dotted line) presents an unphysical kink around
cluded, and to show explicitly where tunneling begins to appear Vgs = 0.4 V. The appearance of this kink relies on the fact that,
in the n-branch, we present in Fig. 5 a comparison between pre- at the source, flatband condition first arises (Vgs ≈ 0.3 V) at
vious characteristics corresponding to epitaxial NiSi2 for Vd = the bottom of the channel (see how in Fig. 7 for the NiSi2
0.1 and 0.5 V including thermionic and field emissions (solid SB-MOSFET, the deeper we go down the contact, the sooner
lines shown in Fig. 4) and those including only thermionic the BH for electrons coincides with the zero-bias height for
emission (dashed lines). The inset shows the relative impor- increasing gate voltages). However, in this case, BL does not
tance of tunneling current over the total current. In light of begin until flatband is reached at the top (Vgs ≈ 0.45 V) be-
these curves, importance of field emission becomes apparent cause that is the point where we are extracting the electric field.
for increasing values of |Vg |, particularly for the p-branch. Therefore, as no BL is being considered for Vgs = 0.3–0.45 V,
Differences of up to five orders of magnitude can be observed current is clearly underestimated in this range. Contrary to this
for Vg = −1.5 V and Vd = 0.5 V. underestimation, as the gate voltage gets higher, the electric
Let us now focus on the role that discretization plays. If field at the top of the junction increases faster (see in Fig. 7
the channel were not vertically discretized, the same low- that, for high gate voltages, BL is greater at the top), thus
ered value for the SB would be present in the whole metal– producing an overestimation of the current. On the other hand,
semiconductor surfaces. In Fig. 6, we display simulations for if we choose the value of the electric field at the bottom of the
NiSi2 SB-MOSFET at Vds = 0.5 V without discretization and junctions, no kink appears (because BL is incorporated since it
1324 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 5, MAY 2012

Fig. 8. Drain currents corresponding to the NiSi SB-MOSFET for Vd = 0.1, Fig. 9. Simulated characteristics including (dashed-dotted lines) internal
0.3, and 1.5 V. Symbols stand for experimental data. Solid lines represent Silvaco ATLAS BL calculation (only applied to thermionic emission) and (solid
simulated results. lines) our external BL estimation for epitaxial NiSi2 and NiSi SB-MOSFETs.
Both curves clearly differ when field emission contributes to the total current.

first occurs at the bottom), but underestimated current results


for increasing gate voltages (dashed line). In the p-channel, as
tunneling is present in its whole branch, no unphysical kinks are
observed. Note that, in the case of holes, the discretized curve
lies very close to the undiscretized one using the value of the
electric field at the top of the contacts.
Simulations and experimental data for the NiSi SB-MOSFET
with Lg = 20 nm can be seen in Fig. 8. Tunneling effective
masses were taken as mh = 0.8 and me = 0.4. For Vd = 0.1 V,
there is a small region in the p-branch of purely thermionic
emission that gets narrower for increasing drain voltage. When
Vd = 1.5 V, this region has disappeared, and tunneling is
present in the whole range of Vg . Unlike what happened in
the epitaxial NiSi2 device, there is no significant deviation
between simulated curves and experimental results in those
regions where tunnel current is absent. Fig. 10. Transfer characteristics for different effective tunneling masses using
It is worth noting that, for both the NiSi and epitaxial NiSi2 internal ATLAS estimation of BL (only implemented for thermionic emission)
SB-MOSFETs, the simulated characteristics including tunnel for NiSi SB-MOSFET. Diamonds stand for experimental data.
current using the WKB approximation with BL and the fitted
tunneling effective masses remain very close to experimental Another interesting point is the apparent reduction of tunnel
data even for high |Vgs | contrary to what was shown in [30] current obtained for increasing values of |Vgs | when the channel
where simulations using the WKB model and including BL dif- length is reduced from 50 to 20 nm, contrary to what one
fered up to one order of magnitude from experimental results. would expect considering the scaling behavior. This experi-
This strengthens the usefulness of our proposed mechanism that mental discovery [1] is also revealed in our simulations and
allows considerable accuracy with only two fitting parameters. is consistent, for example, with the predictions made in [33],
In Fig. 9, we show the comparison between the simulated where it was suggested that, for single-gate SB-MOSFETs,
characteristics (including thermionic and field emissions) ob- the downsizing of the devices, starting at Lg ≈ 20 nm, would
tained using internal ATLAS estimation for BL (dashed-dotted make the influence of Schottky contacts become significant
lines) which only applies BL to thermionic emission and those across the entire length of the channel, making the conduction
including our external BL calculation procedure (solid lines) band much stiffer to bending by the gate voltage. In Fig. 11,
that account for it for both contributions. Misleading results we show this current reduction for Lg = 20 nm in the NiSi
arising from the first curves clearly show a systematic under- SB-MOSFET as a result of the overlapping between source
estimation of the total current in the range where tunneling and drain barriers and the subsequent increase of the total
is present. If one attempts to modify the effective tunneling potential inside the channel. The inset in Fig. 11 schematically
masses trying to compensate that lack of current when using shows this effect in the range of positive Vg . This observed
internal ATLAS BL, it can be seen in Fig. 10, for NiSi, that there difference between characteristics is higher for NiSi in the case
are no values of mh and me neither producing a good fit to the of electrons because, in the n-channel, branch tunneling occurs
experimental data nor reproducing the shape of experimental for both source and drain in the whole range of voltages. Fig. 12
curves. shows the simulated conduction band profiles for Lg = 20 nm
PADILLA et al.: SIMULATION OF FABRICATED 20-nm SCHOTTKY BARRIER MOSFETs ON SOI 1325

Fig. 13. Valence band profiles for the NiSi SB-MOSFET with (dashed line)
Fig. 11. For increasing values of |Vgs |, we get higher current for (diamonds) Lg = 50 nm and (solid line) Lg = 20 nm after BL. As SB for holes in NiSi is
Lg = 50 nm than for (squares) Lg = 20 nm in the NiSi SB-MOSFET. This lower than that for electrons, the potential inside the channel is less affected by
behavior is reproduced in (solid lines) our simulations. Simulated characteris- the overlap as shown in the inset.
tics (dashed-dotted lines) using internal ATLAS estimation of BL do not follow
this pattern conclusively.

Fig. 14. Simulated output characteristics of NiSi SB-MOSFET. Dashed and


dashed-dotted lines correspond to Lg = 20 nm with underlaps of 4 and 6 nm,
respectively. Solid lines correspond to 5-nm underlap; the topmost one stands
Fig. 12. Conduction band profiles for the NiSi SB-MOSFET with (dashed for Lg = 50 nm. Diamonds represent experimental data for Lg = 20-nm with
line) Lg = 50 nm and (solid line) Lg = 20 nm after BL. A certain overlap underlap of 5-nm.
of source and drain barriers produces an increase of the potential inside the
channel as depicted in the inset. VI. C ONCLUSION
Inclusion of BL in SB-MOSFET simulations has been widely
(solid line) and Lg = 50 nm (dashed line) at Vg = 0.7 V and discussed in the literature but not completely implemented yet
Vd = 0.3 V. In the p-channel branch, however, tunneling begins in TCAD simulators. In most cases, the tunneling probabilities
to appear in both interfaces at Vg ≈ −0.5 V, while for Vg > of carriers are described using the WKB approximation that
−0.5 V, only thermionic emission occurs at the source. This turns out to lose accuracy for narrow SB. To circumvent this
causes this overlapping to be less dramatic for holes as shown obstacle, some authors introduce an alternative ATM approach
in Fig. 13 for Vg = −1.0 V, thus moderating to some extent the or directly exclude BL trying to compensate the overestimation
aforementioned overlapping. of the WKB model. We propose an intermediate solution that
Finally, due to short-channel effects (DIBL), it would be allows us to use Silvaco ATLAS simulator through an external
reasonable to expect an increase of Id with Vds without appre- iterative procedure to calculate BL in which we include a
ciable saturation. This behavior is indeed observed in Fig. 14 for vertical discretization of the channel along with an appropriate
the three curves corresponding to Lg = 20 nm. Observe that, fitting of carrier tunneling masses. Comparisons between simu-
however, the curve with Lg = 50 nm seems to show certain lated characteristics and experimental data from short-channel
saturation for Vg > 1.2 V. Simulations changing the underlap epitaxial NiSi2 /NiSi SB-MOSFETs are shown. Simulations
between the gate and the silicide for Lg = 20 nm have been also reproduce some interesting issues arising from these
performed showing, for increasing values of it, an apparent 20-nm-channel devices such as the lack of saturation of output
degradation of performance manifested in a reduction of drain characteristics produced by the appearance of short-channel
current, thus indicating the impact that this underlap has on effects (DIBL), the increase of parasitic resistance due to the
parasitic resistance. underlap between the gate and the silicides, and the total current
1326 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 5, MAY 2012

reduction with respect to the Lg = 50 nm device due to the [28] C. Huang, W. Zang, and C. Yang, “Two-dimensional numerical simulation
overlap of the SB potential profiles. of Schottky barrier MOSFET with channel length to 10 nm,” IEEE Trans.
Electron Devices, vol. 45, no. 4, pp. 842–848, Apr. 1998.
[29] K. Brennan and C. Summers, “Theory of resonant tunneling in a vari-
R EFERENCES ably spaced multiquantum well structure,” J. Appl. Phys., vol. 61, no. 2,
[1] L. Knoll, Q. Zhao, R. Luptak, S. Trellenkamp, K. Bourdelle, and S. Mantl, pp. 614–623, Jan. 1987.
“20 nm gate length Schottky MOSFETs with ultra thin NiSi/epitaxial [30] R. A. Vega, “Comparison study of tunneling models for Schottky field
NiSi2 source/drain,” in Proc. ULIS, 2011, pp. 1–4. effect transistors and the effect of Schottky barrier lowering,” IEEE Trans.
[2] S. Zhu, H. Yu, S. Whang, J. Chen, C. Shen, C. Zhu, S. Lee, M. Li, Electron Devices, vol. 53, no. 7, pp. 1593–1600, Jul. 2006.
D. Chan, W. Yoo, A. Du, C. Tung, J. Singh, A. Chin, and D. Kwong, [31] R. Rengel, E. Pascual, and M. Martin, “Injected current and quantum
“Schottky-barrier S/D MOSFETs with high κ gate dielectrics and metal- transmission coefficient in low Schottky barriers: WKB and Airy ap-
gate electrode,” IEEE Electron Device Lett., vol. 25, no. 5, pp. 268–270, proaches,” IEEE Electron Device Lett., vol. 28, no. 2, pp. 171–173,
May 2004. Feb. 2007.
[3] J. Knoch and J. Appenzeller, “Impact of the channel thickness on the [32] L. Knoll, Q. Zhao, S. Habicht, C. Urban, K. Bourdelle, and S. Mantl,
performance of Schottky barrier metal–oxide–semiconductor field-effect “Formation and characterization of ultra-thin Ni silicides on strained and
transistors,” Appl. Phys. Lett., vol. 81, no. 16, pp. 3082–3084, Oct. 2002. unstrained silicon,” in Proc. Int. Workshop Junction Technol. Extended
[4] Z. Xu, Properties of Metal Silicides. London, U.K.: Inspec, 1995, p. 217. Abstracts, 2010, pp. 1–5.
[5] J. Kedzierski, P. Xuan, H. Anderson, J. Bokor, T. King, and C. Hu, [33] M. Shin, “Computational study on the performance of multiple-gate
“Complementary silicide source/drain thin-body MOSFETs for the 20 nm nanowire Schottky barrier MOSFETs,” IEEE Trans. Electron Devices,
gate length regime,” in IEDM Tech. Dig., 2000, pp. 57–60. vol. 55, no. 3, pp. 737–742, Mar. 2008.
[6] M. Jang, Y. Kim, M. Jeon, C. Choi, I. Baek, S. Lee, and B. Park, “N2
annealing effects on characteristics of Schottky-barrier MOSFETs,” IEEE
Trans. Electron Devices, vol. 53, no. 8, pp. 1821–1825, Aug. 2006. José Luis Padilla was born in Jaén, Andalucía,
[7] M. Unewisse and J. Storey, “Conduction mechanisms in erbium silicide southern Spain. He received the B.Sc. degree (with
Schottky diodes,” J. Appl. Phys., vol. 73, no. 8, pp. 3873–3879, Apr. 1993. honors) in physics from the University of Granada,
[8] J. Knapp, S. Picraux, C. Wu, and S. Lau, “Kinetics and morphology of Granada, Spain, in 2003 and the M.A.S. degree in
erbium silicide formation,” J. Appl. Phys., vol. 58, no. 10, pp. 3747–3757, theoretical physics from the University of Granada,
Nov. 1985. Spain, in 2005. He has been working toward the
[9] P. Muret, T. N. Tan, N. Frangis, and J. V. Landuyt, “Unpinning of the Ph.D. degree in the Departamento de Electrónica
Fermi level at erbium silicide/silicon interfaces,” Phys. Rev. B, Condens. y Tecnología de los Computadores, University of
Matter., vol. 56, no. 15, pp. 9286–9289, Oct. 1997. Granada, since 2008.
[10] M. Huda and K. Sakamoto, “Use of ErSi2 in source/drain contacts of For several years, he worked on theoretical models
ultra-thin SOI MOSFETs,” Mater. Sci. Eng. B, Solid State Mater. Adv. of neutrino masses and extra dimensions and coau-
Technol., vol. 89, no. 1–3, pp. 378–381, Feb. 2002. thored papers in these subjects. He has been a Visiting Researcher with the
[11] E. Dubois and G. Larrieu, “Measurement of low Schottky barrier heights University of California Riverside UCR (2005 and 2007); the Max-Planck-
applied to metallic source/drain metal–oxide–semiconductor field effect,” Institut für Physik, Munich, Germany (2006); and the Peter Grünberg Institut
J. Appl.Phys., vol. 96, no. 1, pp. 729–737, Jul. 2004. (PGI-9 IT), Forschungszentrum Jülich, Jülich, Germany (2011). He is currently
[12] L. Knoll, Q. Zhao, S. Habicht, C. Urban, B. Ghyselen, and S. Mantl, investigating on nanoelectronics with special interest in theoretical modeling
“Ultrathin Ni Silicides with low contact resistance on strained and un- and simulation of semiconductor devices and quantum effects.
strained silicon,” IEEE Electron Device Lett., vol. 31, no. 4, pp. 350–352,
Apr. 2010.
[13] S. Sze, Physics of Semiconductor Devices. Hoboken, NJ: Wiley, 1981.
Lars Knoll received the Diploma in physics from
[14] A. Cowley and S. Sze, “Surface states and barrier height of metal–
semiconductor systems,” J. Appl. Phys., vol. 36, no. 10, pp. 3212–3220, RWTH Aachen University, Aachen, Germany, in
Oct. 1965. 2010. He is currently working toward the Ph.D.
[15] C. Crowell and G. Roberts, “Surface state and interface effects on the degree at the Peter Grünberg Institute 9 (PGI-9 IT),
capacitance–voltage relationship in Schottky barriers,” J. Appl Phys., Forschungszentrum Jülich, Jülich, Germany. During
vol. 40, no. 9, pp. 3726–3730, Aug. 1969. his Diploma thesis, he studied nickel silicides regard-
[16] J. Levine, “Schottky-barriers anomalies,” J. Appl. Phys., vol. 42, no. 10, ing their applicability in electronic devices.
pp. 3991–3999, Sep. 1971. He is currently working on processing and char-
[17] K. Shenai, “Current transport mechanisms in atomically abrupt metal– acterization of short-channel field-effect transistors
semiconductor interfaces,” IEEE Trans. Electron Devices, vol. 35, no. 4, with silicided source and drain contacts.
pp. 468–482, Apr. 1988.
[18] R. A. Vega, V. C. Lee, and T.-J. K. Liu, “The effect of random dopant
fluctuation on specific contact resistivity,” IEEE Trans. Electron Devices,
vol. 57, no. 1, pp. 273–281, Jan. 2010. Francisco Gámiz (M’94–SM’02) received the B.S.
[19] V. Heine, “Theory of surface states,” Phys. Rev., vol. 138, no. 6A, degree in physics and the Ph.D. degree from the
pp. A1689–A1696, Jun. 1965. University of Granada, Granada, Spain, in 1991 and
[20] W. Mönch, Electronic Properties of Semiconductor Interfaces. 1994, respectively.
New York: Springer-Verlag, 2004. In 1999, he was a Visiting Researcher with IBM
[21] J. Andrews and M. Lepselter, “Reverse current–voltage characteristics T. J. Watson Research Center, Yorktown Heights,
of metal-silicide Schottky diodes,” Solid State Electron., vol. 13, no. 7, NY. Since April 2005, he has been a Professor of
pp. 1011–1023, Jul. 1970. electronics with the University of Granada. Since
[22] ATLAS Users Manual, Silvaco, Santa Clara, CA, 2011. 1991, he has been working on the characterization
[23] R. A. Vega, “A comparative study of dopant-segregated Schottky of scattering mechanisms and their influence on the
and raised source/drain double-gate MOSFETs,” IEEE Trans. Electron transport properties of charge carriers in semicon-
Devices, vol. 55, no. 10, pp. 2665–2677, Oct. 2008. ductor heterostructures. He has studied electron mobility in silicon inversion
[24] Sentaurus TCAD, ver. 10.0. layers by the Monte Carlo method. He has coauthored more than 300 refereed
[25] K. Matsuzawa, K. Uchida, and A. Nishiyama, “A unified simulation of papers in major journals and conference proceedings. His current research
Schottky and ohmic contacts,” IEEE Trans. Electron Devices, vol. 47, interests include the effects of many carriers on electron mobility and the
no. 1, pp. 103–108, Jan. 2000. theoretical interpretation of the influence of high longitudinal electric fields on
[26] M. Ieong, P. Solomon, S. Laux, H. Wong, and D. Chidambarro, “Com- the electrical properties of metal–oxide–semiconductor transistors. His other
parison of raised and Schottky source/drain MOSFETs using a novel interests are related to SiGe and SiC, silicon-on-insulator and germanium-on-
tunneling contact model,” in IEDM Tech. Dig., 1998, pp. 733–736. insulator devices, quantum transport, and single-transistor dynamic random-
[27] S. Xiong, T. King, and J. Bokor, “A comparison study of symmetric access-memory cells. He is a coholder of four patents related to multibody
ultrathin-body double-gate devices with metal source/drain and doped memory technology.
source drain,” IEEE Trans. Electron Devices, vol. 52, no. 8, pp. 1859– Dr. Gamiz is the Coordinator of the European Network on Silicon-on-
1867, Aug. 2005. Insulator Technology, Devices, and Systems.
PADILLA et al.: SIMULATION OF FABRICATED 20-nm SCHOTTKY BARRIER MOSFETs ON SOI 1327

Qing-Tai Zhao (M’11) received the Ph.D. degree in Siegfried Mantl (M’04) received the Ph.D. de-
solid-state physics from Peking University, Beijing, gree from the University of Innsbruck, Innsbruck,
China, in 1993. Austria, in 1976.
From 1994 to 1997, he was a Research Staff with In 1981/1982, he spent a sabbatical year with
the Institute of Microelectronics, Peking University, the Materials Science Division, Argonne National
first as a Lecturer and then as an Associate Professor, Laboratory. Since 1971, he has been with the Peter
where he worked on SOI materials and devices. In Grünberg Institute 9 (PGI-9 IT), Forschungszentrum
May 1997, he was awarded as a Humboldt Research Jülich, Jülich, Germany. He is the Head of the Ion
Fellow and started his research at the Peter Grünberg Beam Technique Division, PGI-9-IT, and a Professor
Institute 9 (PGI-9 IT), Forschungszentrum Jülich, of physics with RWTH Aachen University, Aachen,
Jülich, Germany, where he is currently a Research Germany. His research interests focus on the inves-
Scientist and the Leader of Si-based Device Group. His primary research tigation of Si- and Ge-based nanoelectronic devices as well as on ion beam
focuses on Si/SiGe-based devices and technology, thin silicide and Schottky techniques and various thin film growth methods. Specifically, nanowires and
barrier engineering, nanowire devices, and tunnel FETs. He is the author or strained heterostructures for novel transistors, such as short-channel FETs and
coauthor of more than 140 scientific papers and is the holder of seven patents. Schottky barrier and tunnel MOSFETs, are under investigation. He has authored
or coauthored more than 260 journal articles, book chapters, and review articles
and is the holder of over 20 patents.
Andrés Godoy (M’08) received the B.S. and Ph.D.
degrees in physics from the University of Granada,
Granada, Spain, in 1993 and 1997, respectively.
He was a Visiting Researcher with the Beckman
Institute, University of Illinois, Urbana. He is
currently a Professor of electronics with the Departa-
mento de Electrónica y Tecnología de los Computa-
dores, University of Granada. His current research
interests include Monte Carlo simulation of semicon-
ductor devices, noise modeling, and nanoelectronics.

Vous aimerez peut-être aussi