Académique Documents
Professionnel Documents
Culture Documents
TIPO DE PRUEBA: PRACTICA No. Ex. PARCIAL EX. FINAL EX. SUST.
component DRIVER_VGA.
Port (clk: in std_logic;
R,G,B,SH,SV: out std_logic;
FILA, COLUMNA: out std_logic_vector(9 downto 0));
end component;
component SEGUNDO
Port (clk: in std_logic;
X: out std_logic);
end component;
AGL – 2018
PROFESOR