Vous êtes sur la page 1sur 1

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS Ciclo Académico 2018 - I

FACULTAD DE INGENIERÍA ELÉCTRONICA Y ELECTRICA Fecha: 18-07-2018


ESCUELAS ACADEMICO PROFESIONALES Duración: 2 Horas

CURSO: ________DISEÑO DIGITAL__________________________________ COD. CURSO:

TIPO DE PRUEBA: PRACTICA No. Ex. PARCIAL EX. FINAL EX. SUST.

 PREGUNTA 1: (10 puntos) Asumiendo que cuenta con los componentes:

component DRIVER_VGA.
Port (clk: in std_logic;
R,G,B,SH,SV: out std_logic;
FILA, COLUMNA: out std_logic_vector(9 downto 0));
end component;
component SEGUNDO
Port (clk: in std_logic;
X: out std_logic);
end component;

Implemente un circuito que muestre en el monitor el funcionamiento de un display a 7


segmentos. El circuito debe tener 4 entradas de los switch. Considere que el oscilador
es de 50MHz.

 PREGUNTA 2: (10 puntos) Implemente un circuito para calcular la multiplicación de dos


números de 8 bits en base al algoritmo de sumas sucesivas. El circuito tiene dos
entradas de 8 bits: MULTIPLICANDO y MULTIPLICADOR. El circuito debe tener como
salidas: PRODUCTO a 16 bits. Debe contar también con un botón de entrada START
para dar inicio a la operación. Se le pide:

a. Implementar el algoritmo (1 puntos).


b. Dibujar la ruta de datos (2 puntos).
c. Implementar la ruta de datos en VHDL (3 puntos).
d. Implementar el diagrama de estado (2 puntos).
e. Realizar la interconexión entre la unidad de control con la ruta de dato en VHDL,
asuma que ya tiene el componente de la unidad de control (2 puntos).

No es necesario que optimice la palabra de comando.

AGL – 2018

PROFESOR

Vous aimerez peut-être aussi