Académique Documents
Professionnel Documents
Culture Documents
UNAB
LABORATORIO ELECTRONICA DIGITAL
Objetivo general: entrada al sistema y se mira la salida
del mismo
Realizar un contador digital por medio
del software vhdl
Desarrollo:
El desarrollo de esta practicas de
laboratorio llevan a la consecución de un
Objetivos específicos: sistema contador de 4 dígitos a su salida
case BCD is
end case;
end process;
when "0000" => SEGMEN <=
"0000001";
end Behavioral;
contador :
library IEEE; desarrollo de las líneas de código para el
use IEEE.STD_LOGIC_1164.ALL; contador
entity contador is
Port ( Dsalida : in STD_LOGIC_VECTOR recomendaciones:
(3 downto 0);
Es importante tener claro cuales
Clk : in STD_LOGIC);
son los nombres asignados a los
end contadorrr; elementos pues a la hora de
llamarlos no serán reconocidos
se sugieres orden en las líneas de --Inputs
programación, esto porque los signal Dsalida : std_logic_vector(3
códigos sean más fáciles de downto 0) := (others => '0');
interpretar
definir bien las variables de signal Cinicial : std_logic_vector(3
entrada y salida del sistema downto 0) := (others => '0');
signal Habilitacion : std_logic := '0';
signal Reset : std_logic := '0';
código de la simulación
signal Clk : std_logic := '0';
ENTITY Simulacion IS
END Simulacion;
-- Clock period definitions
constant Clk_period : time := 10 ns;
ARCHITECTURE behavior OF Simulacion
IS
BEGIN
-- Component Declaration for the Unit
Under Test (UUT)
-- Instantiate the Unit Under Test
(UUT)
COMPONENT contadorrr uut: contadorrr PORT MAP (
PORT( Dsalida => Dsalida,
Dsalida : IN std_logic_vector(3
downto 0);
Clk => Clk
Cinicial : IN std_logic_vector(3
);
downto 0);
Habilitacion : IN std_logic;
-- Clock process definitions
Reset : IN std_logic;
Clk_process :process
Clk : IN std_logic
begin
);
Clk <= '0';
END COMPONENT;
wait for Clk_period/2;
Clk <= '1';
wait for Clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait;
end process;
END;