Vous êtes sur la page 1sur 27

PASO 5: CONTADORES ASECENDENTES Y DESCENTES

Presentado a:
IVAN CAMILO NIETO SANCHEZ

Entregado por:

Oscar Eduardo Espinosa Osorio, Código: 18521928


Jaime Orlando Alvarado Chivata, Código 80027140
John Jairo Vargas Garzón, Código 79758553
Nelson Andrés López Aguirre, Código 9790946
Luis Enrique Parrado Gamboa, Código 19491524

Grupo: 243004_19

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA - UNAD


ESCUELA DE CIENCIAS BÁSICAS, INGENIERÍAS Y TECNOLOGÍAS
ELECTRÓNICA DIGITAL
12 NOVIEMBRE DE 2017
BOGOTÁ
Desarrollo de la actividad

1. Diseñar un cronómetro que cuente desde 0 hasta 45. El cronómetro contará con una entrada
INICIO y otra de REINICIO, tal como se observa en la siguiente figura.

La entrada INICIO permitirá iniciar o parar la cuenta. Tal como ocurre en el cronómetro de los
celulares  La entrada REINICIO permitirá llevar el cronómetro a cero y detener la cuenta.

Para realizar el diseño del cronómetro se tendrá que utilizar:

 Un contador ascendente módulo 45.


 Un circuito detector de Flanco
 Un flip-flop tipo T.
PRACTICA OSCAR EDUARDO ESPINOSA OSORIO
a. Diagrama de bloques:

CLK
CLK
CLOCK CLOCK
Inici E
o D D +
Qf Qff Qt 1 CLOCK

D Q
salid
R E c
'0000 a
rese R
00'
t R
rese
t

=4
rese
t
b. Código VHDL:
c. Código de la simulación:
d. Resultados de la simulación:

Inicia todo en cero, se da un pulso de reset y el contador toma el valor cero en su salida, al dar el pulso de inicio, el detector
de flanco de subida habilita el enable del FlipFlop tipo T, y este a su ves, habilita el enable del FlipFlop dentro del contador.

El contador llega a 45 y reinicia desde cero nuevamente, como el circuito funciona como un cronometro parecido a los de los
relojes de pulsera, mientras esta realizando conteo y si se presiona nuevamente el inicio se pausa el conteo, esto hasta que
nuevamente se da el pulso de inicio.
Cuando se presiona el botón de reset, el valor del contador pasa a ser cero y queda a la espera de un nuevo pulso de inicio.
PRACTICA JAIME ORLANDO ALVARADO CHIVATA
-- Company: UNAD
-- Engineer: Jaime Orlando Alvarado Chivata
-- COD : 80027140
-- Create Date: 27.11.2017 21:44:38
-- Design Name: Electronica Digital
-- Module Name: Paso_4 - Behavioral
----------------------------------------------------------------------------------

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;

entity Paso_4 is
Port ( clk : in STD_LOGIC;
inicio : in STD_LOGIC;
reinicio : in STD_LOGIC;
salida : out STD_LOGIC_VECTOR (5 downto 0));
end Paso_4;

architecture Behavioral of Paso_4 is

signal D,Q:STD_LOGIC_VECTOR (5 downto 0);


begin
process (clk)
begin
if clk'event and clk='1' then
if reinicio='1' then
Q <= "000000";
else
Q <= D;
end if;
end if;
end process;

D <= "000000" when Q=45 else


Q + '1';
with inicio select
Salida <= Q when '0',
"000000" when others;

end Behavioral;
PRACTICA JOHN JAIRO VARGAS GARZÓN
Hacer un diagrama de bloques

Simulación en vivado del diagrama en vivado


En este momento queda el esquemático de esta forma
ACTIVIDAD NELSON ANDRÉS LÓPEZ AGUIRRE
Diagrama de Bloques

Código en VHDL
Esquemático
Simulación del diseño
PRACTICA LUIS ENRIQUE PARRADO GAMBOA

Q1

+1 D1 salida
D1 Q1
"000000"

clk
=45

E R

inicio
D Q3 D2 Q2

clk clk

R E R

clk
reset

b. Un pantallazo con la descripción en VHDL

c. Un pantallazo con la simulación, en el cual se evidencie el correcto funcionamiento del diseño.


REFERENCIAS:

YouTube. (2017). 2016-04 Contador Ascendente / Descendente Diagrama de bloques explicado. [online]
Available at: https://www.youtube.com/watch?v=Uw3stcZUKcA&t=65s [Accessed 12 Nov. 2017].

YouTube. (2017). 2016-04 Contador ascendente: VHDL y Simulación ISE 14.7. [online] Available at:
https://www.youtube.com/watch?v=2rRy_DTULng&t=687s [Accessed 12 Nov. 2017].

YouTube. (2017). Haciendo la simulación como archivo TOP. [online] Available at:
https://www.youtube.com/watch?v=izK9krWBAkU [Accessed 12 Nov. 2017].

Vous aimerez peut-être aussi