Vous êtes sur la page 1sur 12

Microelectronics Journal 45 (2014) 59–70

Contents lists available at ScienceDirect

Microelectronics Journal
journal homepage: www.elsevier.com/locate/mejo

Memristor-based combinational circuits: A design methodology


for encoders/decoders
Ioannis Vourkas, Georgios Ch. Sirakoulis n
Laboratory of Electronics, Department of Electrical and Computer Engineering, Democritus University of Thrace, Panepistimioupoli, Kimmeria, Building B,
Room 122, GR-671 00 Xanthi, Greece

art ic l e i nf o a b s t r a c t

Article history: The crossbar architecture is viewed as the most likely path towards novel nanotechnologies which are
Received 15 May 2013 expected to continue the technological revolution. Memristor-based crossbars for integrating memory
Received in revised form units have received considerable attention, though little work has been done concerning the imple-
30 September 2013
mentation of logic. In this work we focus on memristor-based complex combinational circuits.
Accepted 7 October 2013
Particularly, we present a design methodology for encoder and decoder circuits. Digital encoders are
Available online 20 November 2013
found in a variety of electronics multi-input combinational circuits (e.g. keyboards) nowadays, converting
Keywords: the logic level ‘1’ data at their inputs into an equivalent binary code at the output. Their counterparts,
Crossbar digital decoders, constitute critical components for nanoelectronics, mainly in peripheral/interface
Decoding
circuitry of nanoelectronic circuits and memory structures. The proposed methodology follows a
Encoding
CMOS-like design scheme which can be used for the efficient design and mapping of any 2n  n
Memristors
Nanoelectronics (n  2n) encoder (decoder) onto the memristor-based crossbar geometry. For their implementation, a
hybrid nano/CMOS crossbar type with memristive cross-point structures and available transistors is
elaborated, which is a promising solution to the interference between neighboring cross-point devices
during access operation. Circuit functionality of the presented encoder/decoder circuits is exhibited with
simulations conducted using a simulator environment which incorporates a versatile memristor device
model. The proposed design and implementation paradigm constitutes a step towards novel computa-
tional architectures exploiting memristor-based logic circuits, and facilitating the design and integration
of memristor-based encoder/decoder circuits with nanoelectronics applications of the near future.
& 2013 Elsevier Ltd. All rights reserved.

1. Introduction Crossbars offer several benefits including a regular pattern, which


makes manufacturing relatively straightforward, flexibility of being
Moving beyond today's silicon integrated chip technology built using a wide range of substances and processes, good defect-
requires shrinking circuits to the scale of a few nanometers, while tolerance and the highest possible device density [1–3].
novel devices and architectures will also likely be needed to satisfy Recently, there have been many alternatives proposed for
the growing demands for high performance memory and logic implementing the cross-point devices in the crossbar. One such
applications. Programmable crossbar circuits [1] constitute a key alternative is the memristor which is based on a theoretical device
architecture proposed for integrated nanoscale electronics. A cross- formulated by Chua [4]. The first “modern” practical implementa-
bar comprises two parallel planes separated by a thin chemical tion of this device was realized and demonstrated by the Hewlett–
layer [2]. Each plane contains a set of parallel and uniformly-spaced Packard Laboratories [5]. Their version of the Titanium Dioxide
nanowires which are perpendicular to wires in the other. The region (TiO2) substrate memristor is the most generally recognized
where two wires cross is a junction which may either be configured memristor type. In this work, besides their nonlinear and analog
as an electronic device or left unconfigured, so that the two crossing nature [6], we exploit the memristors as two-state switches rather
wires do not interact electrically. Configuration of an individual than analog devices, without loss of generality. These unique
junction may be done electrically by placing different voltages on devices may allow for new nanoelectronic architectures providing
the horizontal and vertical nanowires that define the junction. nonvolatile, dense, fast, and power-efficient electronic applica-
tions, including memory [7–9], synaptic computing [10,11], phase
shift oscillators [12,13] as well as logic [14,15], though memristor-
n
Corresponding author. Tel.: þ 30 254 10 795 47; fax: þ 30 25 410 795 40.
based logic circuits have not received much attention up to now.
E-mail addresses: ivourkas@ee.duth.gr (I. Vourkas), In the present work we focus on the design of complex
gsirak@ee.duth.gr (G.Ch. Sirakoulis). memristor-based combinational logic circuits. We particularly

0026-2692/$ - see front matter & 2013 Elsevier Ltd. All rights reserved.
http://dx.doi.org/10.1016/j.mejo.2013.10.001
60 I. Vourkas, G.Ch. Sirakoulis / Microelectronics Journal 45 (2014) 59–70

present a design and implementation methodology for the effi- was applied before and for how long; thus presenting a memory of
cient mapping of digital encoders/decoders onto the crossbar their past. That is an effect that can not be duplicated by any circuit
architecture. A digital encoder is a multi-input combinational logic combination of resistors, capacitors, and inductors, which qualifies
circuit that converts the logic level ‘1’ data at its inputs into an the memristor as a fundamental circuit element. Currently, there is
equivalent binary code at its output. Encoders can be used to a growing variety of systems that exhibit memristive behavior, as
reduce the number of wires needed in a particular circuit or in academia and industry keep on with their research and prototyp-
applications that have multiple inputs. Their complementary ing [26]. Among them, molecular and ionic thin film memristive
circuits, namely digital decoders, constitute critical components systems primarily rely on different material properties of thin film
for nanoelectronics [16]. They are especially suitable for nanoscale atomic lattices that exhibit hysteresis under the application of
crossbars because they allow a small number of conventional charge. In experimentally realizable systems, memristive devices
microscale CMOS wires to control a large number of nanoscale with threshold voltages seem to be the norm rather than the
wires and devices. Thus, they result in a robust interface to the exception, and electronic conduction is in most cases dominated
nanocircuitry which provides significant protection from manu- by an effective tunneling barrier-width that varies with the
facturing mistakes [17]. Another important target application for a applied voltage.
decoder circuit is as a subsystem in nanoscale crossbar memory Although there has been no direct connection between a model
systems [18]. It is shown here that any 2n  n (n  2n) encoder and the physical properties of memristor yet, many scientists are
(decoder) circuit can be efficiently implemented using a hybrid working towards the formulation of appropriate descriptive mod-
nano/CMOS crossbar, where the cross-point devices are consid- els [27–31] that will lead to better understanding of its behavior
ered to be configurable memristors, accompanied by auxiliary and will facilitate simulation and incorporation of memristors in
CMOS switches which facilitate better device access operation. The electronics system design. In our previous work [21] we developed
methodology presented here employs a CMOS-like design scheme a novel memristor device model which explains the device's
[19] which uses the programmable resistance of memristors for memristive behavior primarily attributing the switching effect to
the computation of logic functions with voltage as the state an effective tunneling distance modulation. In specific, it is a
variable and, unlike other logic methods (such as stateful logic), threshold-type switching model of a two-terminal voltage-con-
the computational process comprises only a single step. Charac- trolled electrical device that exhibits memristive behavior, and its
teristic examples of memristor-based digital encoder and decoder general definition is given by the following relations:
circuits, implemented under the proposed design method, are
presented, and their functionality is exhibited with simulations IðtÞ ¼ GðL; tÞV M ðtÞ ð1Þ
conducted with a simulator environment which we developed
using the Java programming language [20]. This simulation tool
incorporates a versatile memristor device model [21] and supports L_ ¼ f ðV M ; tÞ: ð2Þ
the design of memristor-based circuits on nanoscale crossbar
structures. The demonstrated simulation results confirm the where L defines the tunnel barrier width and also the state
efficiency and the fine application of the proposed methodology. variable of the system, indicating the internal memristor state. G
This work contributes to memristor-based combinational logic is the conductance of the device and parameters I and VM
circuit design and motivates for further research on new design represent current and applied voltage, respectively. This model is
strategies that comply with emerging technologies and with the based on the assumption that the switching rate of L is small (fast)
oncoming scale-down of the electronic circuits. The presented below (above) a threshold voltage (VSET or VRESET), which is viewed
methodology, exploiting the favorable performance merits of as the minimum energy required to impose a change on the
memristors, concerning their non-volatility, switching speed, area physical structure of the device. It also allows for assuming
and energy dissipation [22,23], could be a promising candidate to asymmetric threshold voltages to be applied to the SET and RESET
be used in future electronic systems design. operations, i.e. |VSET| a|VRESET|, which implies the existence of
different tunneling distance change rates. Such behavior can be
attributed to the interaction of the external applied field, the
2. The memristor: basic properties and device modeling internal field of the concentrated defects (e.g. mobile ions, vacan-
cies, etc.), and the diffusion, all acting in the same or in the
There had been experimental clues to the memristor's exis- opposite directions according to the applied voltage bias [5,32].
tence all along the last two centuries. Scientists have been The time derivative of the state variable in (2) is interpreted as the
publishing in the literature experimental results with “strange” speed of movement of the tunnel barrier due to the applied
voltage characteristics, where one sees clearly memristance, voltage, and function f captures the highly nonlinear response of
though such a material property had always been shadowed by the memristor. The simulation results from the response of a
other effects that were of primary interest [24]. In the absence of single memristor under ac voltage bias according to the used
an application, there was no particular need to seek memristive model are illustrated in Fig. 1. Model parameter values are used as
behavior anyway. In 1971, nonlinear-circuit-theorist Leon Chua given in {a, b, c, m, fo, Lo, VSET, VRESET}¼ {5  106, 0, 0.1, 82, 310, 5,
predicted that there should be a fourth element: the memristor 3 V,  1.5 V} and the resulting resistance ratio is β¼ ROFF/RON E102
(concatenation of “memory resistor”), joining the resistor, the with ROFF E200 kΩ and RON E2 kΩ.We note here that when {a, b}
capacitor, and the inductor [4]. Such a device would provide a 40 then a positive (negative) voltage applied to the top terminal
relationship between flux linkage and charge, likewise a resistor with respect to the bottom terminal, denoted by the black thick
gives between voltage and current, exhibiting its unique proper- line, always tends to increase (decrease) the memristance. How-
ties primarily at the nanoscale. A few years later, Chua and Kang ever, setting b equal to zero imposes no state change in the
[25] introduced to the scientific community the generic properties memristor unless a certain voltage threshold is exceeded. It has
of a broad generalization of the memristor to an interesting class been demonstrated that the model represents well the complex
of nonlinear dynamical devices called memristive devices. The switching behavior of memristor, exhibiting I–V responses quanti-
reason why memristors are substantially different from the other tatively consistent with other widely used published models.
fundamental circuit elements is that, when you turn off the Hence it can be used to provide accurate enough circuit simula-
voltage to the circuit, they still remember how much voltage tions for a wide range of memristor devices and voltage inputs.
I. Vourkas, G.Ch. Sirakoulis / Microelectronics Journal 45 (2014) 59–70 61

Fig. 1. Simulation results from the response of the memristor model [21] to a triangular ac applied voltage, showed in (a). The hysteretic current–voltage (I–V) characteristic
of a device with (VRESET, VSET) ¼(  1.5V, 3 V) is demonstrated in (b) and the corresponding change of the resistance (memristance) with time and with the applied voltage is
shown in (c) and (d), respectively. Threshold values are highlighted using red dotted-lines in (a) and (d) to facilitate comprehension of the response of the device to the
applied voltage. (For interpretation of the references to color in this figure legend, the reader is referred to the web version of this article.)

3. Memristor-based combinational logic circuit design

In this Section we describe a design strategy for memristor-


based combinational logic circuits which is based on well-known
logic design principles from the CMOS Very Large Scale Integration
(VLSI) technology. Work on memristive logic has so far focused on
stateful logic [12], where Boolean functions are computed using
material implication and reset operations. A major disadvantage of
the memristive implication logic is the necessity to perform
lengthy sequences of stateful logic operations in order to synthe-
size a given Boolean function. Unlike stateful logic, the computa-
tional process here comprises only a single step, and the logical
state is represented as a voltage, even though resistance is nor-
mally used to represent the logical state of individual memristors.
The computational result is independent of the initial state of the
memristor devices which are utilized only for logic computation
and not for storing a logical state.
According to the CMOS VLSI circuit design theory, for every logic Fig. 2. Conventional CMOS circuit design method compared to a CMOS-like
function F(x) implementation there is a specific formation for the nanoscale design concept comprising appropriately polarized memristor devices.

employed Field Effect Transistors (FETs) in the circuit. Fig. 2 gen-


erally shows how appropriately polarized memristors can be used the use of complementary and symmetrical pairs of memristors;
to replace existing FETs, maintaining the well understood CMOS only in this case complementation refers to the use of the same kind
design methodology and resulting in the same circuit functionality; of devices but with opposite polarization. Consequently, assuming
i.e. delivering complementary digital logic comprising two-state that memristors work as two-state switches, the overall circuit
switching devices. In conventional CMOS the word “complemen- functionality remains similar to that of the CMOS-based logic
tary” refers to the fact that the typical digital design style uses circuits. More specifically, forward polarized memristors (FPMs)
complementary and symmetrical pairs of p-type and n-type FETs for are used in the n-MOS area and reversely polarized memristors
the implementation of logic functions. In the proposed memristor- (RPMs) in the p-MOS area. The RPMs, corresponding to p-type FETs,
based design scheme the word “complementary” similarly implies are initially found in the ROFF state. Thus a negative applied voltage
62 I. Vourkas, G.Ch. Sirakoulis / Microelectronics Journal 45 (2014) 59–70

changes their state from ROFF to RON, i.e. closes the switch, likewise or the memristor array was integrated directly on top of underlying
happens with p-type FETs. On the contrary, a positive voltage pulse CMOS circuitry [37].
either restores their state from RON to ROFF or leaves them In the present work, in addition to the presented circuit design
unchanged in ROFF, i.e. the switch is opened. As far as FPMs are strategy, we investigate possible implementation architectures for
concerned, which correspond to n-type FETs, they are initially found the presented logic circuits, elaborating the hybrid transistor–
in the RON state. So, a negative applied voltage changes their state memristor crossbar type. First of all, the geometry of the mem-
from RON to ROFF, though a positive voltage pulse either restores ristor-based combinational circuits should be appropriately altered
their state from ROFF to RON or leaves them unchanged in RON. In in order for them to better fit in an array-like structure, like the
other words, positive (negative) voltage turns the switch on (off), crossbar. Extending the logic circuit design concept proposed in
just as n-type FETs work. The input logic signals are represented [19], here FPMs and RPMs (instead of FETs) that receive the same
using positive voltage for logic ‘1’ and negative voltage for logic ‘0’. input signal are placed in the same horizontal line and the circuit
Similar to the standard combinatorial logic using CMOS, the output is always taken from the lower part of the circuit. In Fig. 3a
implemented logical function is determined every time by the the CMOS-like memristive circuit which implements a 2-input
topology of the circuit, which consequently consists of an equivalent NAND universal logic gate is presented in detail in order to
ohmic resistance for the upper and another one for the lower part of underline the fundamental properties of the proposed design
the CMOS-like design. Therefore the output voltage VOUT is always a paradigm. The circuit consists of both memristors (crossbar plane)
fraction of the supply voltage VDD, being dependent on the voltage and auxiliary FETs (CMOS plane) which are driven by appropriate
divider across the two parts of the memristor-based circuit, with selection lines. The CMOS switches not only remove the current
voltage values close to VDD corresponding to logic ‘1’ and values sneak-paths, but also facilitate correct access operation to multiple
close to zero (Gnd) corresponding to logic ‘0’. The delay of the logic memristors receiving the same input voltage bias. In this particular
operations is the time required for the memristors to be fully circuit there are four switches, named M1–M4, which determine
switched. The delay time is also dependent on the applied voltage the logic gate function providing the option for either application
level. A relatively low voltage level increases the delay time and it is of input (i.e. programming) signals which affect the internal state
possible that a memristor does not switch completely, since the of memristors, or for reading the circuit output with VDD and Gnd
input voltage is not applied for sufficient time or it is too low. In voltages, or for idle operation, where all switches are set to
such a case different output voltage levels might occur, making floating position. The duration and amplitude of the input voltage
difficult to distinguish between them. Therefore, in order to pulses, here denoted as 7Vo, should be selected according to the
guarantee a stable and correct operation, appropriate programming
and reading voltage pulses need to be selected based on the
switching characteristics of individual devices.
It should be mentioned that throughout this work the mem-
ristors, which directly replace FETs, are deliberately considered as
three-terminal devices within logic circuits for representation
purposes. In fact, each input wire in the memristor-based circuit
design corresponds to the set of crossbar nanowires which form
the junction where the specific memristor is located. Thus, apply-
ing an input signal to a horizontal input circuit line corresponds to
the application of an appropriate voltage (positive or negative) to
the aforementioned crossbar nanowires which will affect the
internal state of the target memristor. In the following Section
we describe analytically what a three-terminal memristor device
stands for and also how memristor-based combinational circuits,
designed under the CMOS-like paradigm, can be appropriately
mapped onto the crossbar geometry.

4. Hybrid memristor–transistor nano/CMOS crossbar

Several researchers have reported on memristive circuits with a


simple cross-point type array based on various materials, including
binary metal oxides [22,23,33]. However, such circuits suffer from
leakage current paths, generally referred as the sneak-path problem
[34]. Hybrid crossbars with different cross-point devices, like the
transistor–memristor (1T–1M) combination, have been proposed as
a possible solution. This approach comprises a memristor device
connected with a select transistor in series in every cross-point. The
select transistor controls the location of the switching element to be
accessed, ensuring reliable circuit operation. Yakopcic et al. [35]
describe a 1T–1M circuit structure designed so that each of the
memristors could be accessed individually without disrupting other
devices, whereas Kim et al. [36] employ a 1T–1M structure to Fig. 3. (a) Analytical example of a circuit implementation of a two-input NAND
describe the development of a resistive random access memory logic gate, based on the CMOS-like design paradigm, illustrated in detail to facilitate
(ReRAM). Word, bit, and source lines for random access operation, better understanding of the sequence of the applied voltages. Appropriate switches
are used to demonstrate that reading the output or applying input signals (here A
i.e. three signal lines, are necessary to properly access the cross- and B) is done with application of the corresponding voltages. (b) A summary of all
point devices. In such implementations, the switching devices were appropriate voltages (switch positions) needed to be applied to the corresponding
either integrated along with memristors on the same substrate [36], devices during all possible circuit operation phases.
I. Vourkas, G.Ch. Sirakoulis / Microelectronics Journal 45 (2014) 59–70 63

switching response of individual memristors, so as to exceed the the total number of access transistors is smaller than the number
VSET and VRESET threshold values and thus cause the device of used memristors. Having one transistor for each memristive
memristance to completely switch in either direction (i.e. from device results redundant in the proposed circuit architecture;
OFF to ON and vice versa). However, the VDD amplitude should be indeed, in all circuit branches the devices immediately connected
appropriately selected so that the corresponding voltage drop to the output line can be accessed using a single transistor, thus
never exceeds the threshold voltages of any of the invoked the total circuit area is smaller than when using a full 1T–1M type
memristors. In this way the internal state of memristors remains crossbar. This explains the notation e.g. Sel(AþB) which refers to a
unaffected during read-out regardless of the current flow from VDD transistor used for both signals A and B. In this approach, for a
to Gnd. Moreover, with their memory function being nonvolatile, single input signal change each time, e.g. for an input sequence
memristors do not require power to refresh their states, even if the from (A, B) ¼(0, 1) to (A, B)¼ (1, 1), the circuit output is updated in
chip power is turned off, i.e. when set in idle operation. only a single step, whereas for multiple simultaneous signal
Generally, in a complementary logic circuit whose output is changes the output response delay is multiplied by the number
described by a logic function which involves a particular input of the changed input signals. Pulsing details provided in Fig. 3b
signal, this signal will apply to at least a pair of anti-parallel infer that no more than one input voltage can be applied at the
oriented memristors. The exact number of FPMs and RPMs cannot same time, unless more switching access elements are introduced
be formerly defined because a specific logic function has many in the vertical lines to isolate the groups of devices found in
different CMOS-like implementations. Regarding the aforemen- different horizontal lines; this practically is an extension of the
tioned logic circuit, in Fig. 3b we provide information relative to above implementation method.
the positions of the switches and the logic values applied to the Therefore, the necessary transistors need not be at every cross-
selection transistors for every possible circuit operation phase. point of the array but can be found alternatively in the CMOS
Additionally, in order to facilitate understanding of the applied driving circuitry domain. The development of the presented
voltage sequence, which ensures no main leakage paths in such hybrid transistor–memristor crossbar architecture adds no signifi-
memristor-based logic circuits, we also provide in Fig. 4 a flow cant complexity to similar architectures found in the literature and
chart where all operation details of the proposed memristive logic it is feasible with today's fabrication technologies. Likewise in [36],
circuits are summarized. With the appropriate driving circuit there is the option of integrating the driving CMOS components on
(which is beyond the scope of this paper), VDD and Gnd voltages the same substrate with memristor crossbars. On the other hand,
are supposed to be applied only in order to read the circuit output. memristors could be fabricated directly above the CMOS plane
In the circuit snapshot of Fig. 3a, the actual demonstrated positions with an array of vias providing electrical connectivity between the
of the switches are chosen so that the input signal B can be applied CMOS and the memristor layer. Various hybrid nanocrossbar
to the lower pair of devices, by simultaneously driving appro- /CMOS architectures have been proposed in which the function-
priately the line-selection signals. Furthermore, it can be seen that ality of memristive switches is compensated by the CMOS com-
ponents, thus exhibiting beneficial characteristics without creating
any area overhead [37–39]. The visualization of the aforemen-
tioned implementation concepts is shown for clarity in Fig. 5.
Hereinafter, for readability reasons we sometimes omit the
supplementary transistors, using the compact three-terminal rep-
resentation in the presented memristor-based combinational
circuits implementing digital encoders and decoders. Based on the
previous detailed description, the overall concept regarding the
physical implementation of the three-terminal devices is summar-
ized in Fig. 6. It is shown that the extra terminal of each memristor,
where the input signal is normally shown to be applied, is always
the gate terminal of an available access transistor used to appro-
priately interact with it, without necessarily inferring 1T–1M corres-
pondence.

5. Digital encoder/decoder circuit design

In this Section we adopt the CMOS-like design paradigm to


describe the particular design of programmable and scalable
memristor-based digital encoder/decoder circuits, built on recon-
figurable, hybrid nano/CMOS crossbar arrays that incorporate
memristive cross-points and auxiliary access transistors. In order
to define the location and orientation of the programmable
memristors following the CMOS-like design [19], we defined a
logic tile consisting of interconnected two-dimensional arrays
which comprise configurable memristive nodes and supporting
routing junctions that facilitate the propagation of internal signals
to the outside of the tile. The redundant data paths of the crossbar
structure, as illustrated in Fig. 5, enable alternate routes through
the interconnections, resulting this way in highly defect-tolerant
circuits. Each memristive logic circuit may be presented with
Fig. 4. A flow chart explaining the applied signal sequence and all operation details two wires for each input (whenever necessary), i.e. one wire
of the proposed memristive logic circuits. representing the input value and the other its complement. The
64 I. Vourkas, G.Ch. Sirakoulis / Microelectronics Journal 45 (2014) 59–70

Fig. 5. Visualization of the implementation concept for the proposed hybrid transistor–memristor crossbar architecture. Schematic (a) shows how memristors could be
fabricated directly above the CMOS plane with an array of vias providing electrical connectivity between the CMOS and the memristor layer. On the other hand, the driving
CMOS components could be integrated on the same substrate with memristor crossbars, as illustrated in (b).

on hybrid nano/CMOS crossbar architectures, comprising memris-


tive cross-points and also auxiliar CMOS switches in the periph-
eral/driving circuitry.

5.1. Digital encoder

Encoders are commonly used multi-input combinational cir-


cuits which produce an encoded output according to the signals in
their input lines. Generally, an n-bit digital encoder has 2n input
lines and n output lines. The output lines generate the binary
equivalent of the input line whose value is at the moment set to
logic ‘1’. In order to describe the circuit, every output is first
represented by a logic function of the input variables. Then, each
function can be implemented with memristors by decomposing it
into two sets of minterms, i.e. one set for the RPMs and another for
the FPMs, and by selective configuration of junctions in the
crossbar structure.
Fig. 7 summarizes the proposed methodology for the design of
Fig. 6. The physical implementation of the three-terminal devices, which were a particular digital encoder. More specifically, in Fig. 7a the first
deliberately chosen to represent two-terminal memristors here. In fact, the third methodical steps are included. The block diagram of a 4  2 digital
terminal is the gate terminal of an available access transistor, used to form the
encoder is shown, where inputs and outputs are assigned a
memristor–transistor pairs, which is always driven by appropriate selection lines.
variable name, and also the output functions are extracted. The
input variables are defined as X0, X1, X2, and X3, whereas the
complemented signals are always considered to be readily pro- output variables are F1 and F0, respectively. The circuit's truth table
duced by external circuitry connected to the crossbar. in Fig. 7b includes all of the four cases which guarantee a correct
The presented methodology comprises a few straightforward input to the circuit, except for the case when all inputs are set to
steps for the circuit designer to follow, ranging from the circuit's logic ‘0’. In this case both outputs are left at logic ‘0’, which is the
definition to its final mapping and implementation on a hybrid same with the case of having the input X0 set to logic ‘1’. The truth
crossbar structure. The steps are as follows: table is used to extract the logic functions for each one of the
circuit's outputs. The extracted logic expressions F ′i ðxÞ and F i ðx′Þ
(1) Define the encoder/decoder circuit's inputs and outputs and are coincidentally both functions of only the complement input
assign a logic variable to each of them. variables. Thus, only the complement input signals appear in the
(2) Extract the corresponding logic functions for each encoder/ circuit design presented in Fig. 7c, where the RPMs and FPMs are
decoder circuit output, according to the truth table. found in the upper (or left) and lower (or right) part of the
(3) For each one of the extracted logic functions, e.g. Fi(x), find its compact (analytical) circuit, respectively. The aforementioned
complement F ′i ðxÞ and the expression Fi(x'). extracted logic expressions, in their most compact form, coinci-
(4) Design the encoder/decoder circuit according to the paradigm dentally do not include the variable X0 since it does not affect the
summarized in Fig. 1. For sum-of-products function represen- circuit output. This is why in this case the corresponding input
tations, each product term is implemented with a single signal is omitted in the circuit design. In this particular circuit
vertical chain of memristors and the final sum is created by schematic, both the compact and the analytical circuit versions are
wired-ORing the existing products. presented. Memristors receiving the same input signal are colored
(5) Map the designed circuit on the hybrid crossbar structure by correspondingly and the connection lines are similarly colored in
selective configuration of the cross-point junctions. order to facilitate visual correspondence with the circuit definition
in the interface of the developed simulation tool, demonstrated
The listed steps form a complete methodology which should later in Fig. 9a. In this specific circuit snapshot, the actual positions
provide the circuit designer with the ability to efficiently design of the switches allow interaction with the blue colored devices,
and implement memristor-based digital encoder/decoder circuits associated with input signal X ′2 . Following the same methodology,
I. Vourkas, G.Ch. Sirakoulis / Microelectronics Journal 45 (2014) 59–70 65

Fig. 7. (a) Block diagram of a 4  2 encoder, (b) its truth table, and (c) the corresponding compact and analytical CMOS-like circuit design with appropriately polarized
memristors instead of FETs. Devices associated with the same input signals are colored correspondingly.

any 2n  n digital encoder circuit can be designed and implemen- incorporates a user friendly interface which facilitates the creation
ted in hybrid transistor–memristor based crossbar architectures. of logic computations with memristor-based circuits on hybrid
array-like nano/CMOS architectures.
5.2. Digital decoder The software interface comprises nanowires which are distrib-
uted into different quadrants of adjustable dimensions to facilitate
Decoders constitute circuits which activate only one of their the design and simulation of rather complex circuits. Each quad-
outputs by presenting logic ‘1’ to the corresponding wire, accord- rant is considered to possess different electrical properties due to
ing to the input combination. A circuit with n inputs normally has the chemical properties of the interlayer used in that region. The
2n outputs, i.e. one for every possible input set, and each one of array representation which is found in the simulator's interface is
them can be described by a logic function representation of the illustrated in Figs. 9 and 10a as well, where configured junctions
input variables. determine the implementation of a 4  2 binary encoder and a
Fig. 8 also summarizes the proposed methodology, though this 2  4 decoder, respectively. All of the remaining available junctions
time for the design of a particular memristor-based digital are maintained unconfigured. For readability reasons we choose to
decoder. The block diagram of a 2  4 decoder, along with its simulate the relatively small circuits which were presented earlier
truth table and the particular circuit diagram are shown. Only the in this work, though larger circuits can be designed and simulated
compact circuit schematic is demonstrated here since the more as well by the simulation environment. Particularly, in order to
analytic version follows by analogy from the previously presented accommodate the definition of the proposed circuit geometry, the
examples. Both devices and connection lines are colored accord- logic tile consists of quadrants with configurable routing switches
ingly to comply with the schematic of Fig. 10a. There are two (the lower gray colored ones) and others where a junction can be
circuit inputs, defined as X and Y, and four output logic functions configured to be either an RPM (upper left pink quadrants) or an
representing the four minterms composed of the input variables, FPM (upper right blue quadrants). A particular input signal may be
denoted as m0, m1, m2 and m3, respectively. Each function Fi(X, Y) is brought in on any of the horizontal nanowires and it applies to all
found according to the truth table and is then used in order to find of the configured memristors found in the same horizontal line,
its complement F ′i (X, Y), and the expression Fi(X′, Y′) derived by whereas VDD and Gnd signals are always taken from the dark gray
replacing all variables in the initial function with their comple- quadrants located at the top and are used to determine the circuit
ments. The circuit is then designed according to the CMOS-like output depending on the internal state of the invoked memristors.
paradigm, with reversely and forward-polarized memristor According to the literature, the thickness of the critical oxide film
devices. Following the proposed methodic design strategy, any of memristors located at the cross-points varies between 5 and
n  2n memristor-based digital decoder circuit can be designed 30 nm [5,6]. In all conducted simulations we strictly defined in the
and implemented on a hybrid crossbar array. memristor model the value margin of the tunnel barrier width to
vary between 1 and 5 nm, which is likely to be observed experi-
mentally. Assuming the previously mentioned set of values assigned
6. Simulation results to the model fitting parameters as it was described in Section 2, in
all simulations we consider a ROFF/RON ratio of approximately two
In this Section we demonstrate functionality of the presented orders of magnitude which is large enough to characterize the
circuits providing the results from the simulations conducted with discrete conducting states of the memristors. In order to decide on
a simulation environment that we built using the Java program- the applied pulse properties, we focus on the particular I–V
ming language [20], and which utilizes the memristor device characteristic of individual memristors and the demonstrated
model [21] summarized earlier in Section 2. The simulator threshold values, shown in Fig. 1; we thus choose to apply read
66 I. Vourkas, G.Ch. Sirakoulis / Microelectronics Journal 45 (2014) 59–70

Fig. 8. (a) Block diagram of a 2  4 decoder, (b) its truth table, and (c) the corresponding compact CMOS-like circuit design with appropriately polarized memristors instead
of FETs. Devices associated with the same input signals are colored correspondingly.

pulses of VDD ¼1 V and input (write) pulses of Vo ¼ 74 V. VDD More specifically, in Fig. 9 the simulation results for a 4  2
supply is thus kept below the threshold voltages to avoid affecting digital encoder, designed with memristors on a hybrid nano/CMOS
the internal state of the memristors while reading the circuit output. array-based geometry, are presented. Configuration of the selected
The memristance change of a single memristor induced by an input cross-points is demonstrated in Fig. 9a, where colored lines are
pulse with time is shown in Fig. 9b, where it can be noted that the used to highlight the separate circuits that implement each of the
resistance change is completed after almost 60 μs for the aforemen- output functions in correspondence with the circuit schematics of
tioned set of parameters values. Appropriate adjustment of the Figs. 7 and 8. In the particular horizontal line where the comple-
parameters of the model, depending each time on the character- ment of input signal X0 applies, there are no configured junctions
istics of the actual device that is being simulated, as well as properly since this particular variable is not found in the extracted Boolean
applied voltage produces faster or slower switching times. In our functions which describe the circuit outputs. The simulation
simulations the applied input pulses were chosen to be 80 μs-wide begins with the logic combination (X3, X2, X1) ¼(0, 0, 0) (the
so as to guarantee complete state transition and thus facilitate inverted signals are only showed in Fig. 9c). These three initial
better distinction during the read-out phase in the corresponding positive pulses maintain RPMs and FPMs unaffected at ROFF and
graphs demonstrated in Figs. 9c and 10b. A logic ‘0’ (logic ‘1’) input RON states, respectively. Therefore, looking back in Fig. 7c, the
signal always corresponds to a negative (positive) voltage pulse equivalent resistance of the upper circuit branches (RPM area)
applied to the specific set of nanowires which form the target cross- results ROFF/2 (ROFF||ROFF) whereas for the lower branch (FPM area)
point junction. Through the user interface, crossbar junctions can be it is only 2  RON (RON þRON). Hence when VDD is applied there is a
selectively configured in order to appropriately map circuits com- much higher voltage drop on the upper resistive part of the circuit
prising memristors on the array-like architecture, where the access compared to that of the lower part, based on the high enough
transistors are omitted for readability reasons but are considered resistance ratio ROFF/RON. This is why both F1 and F0 output voltage
present in the respective physical implementation. In order to levels are very low. However, when a negative pulse is applied to
access correctly the appropriately polarized memristors in a hor- X ′3 input, the involved memristors change their states from OFF to
izontal line, as discussed in Section 4 in detail, the supplementary ON and vice versa. Then the equivalent resistance of the upper
CMOS switches are supposed to be biased in such a way that all branches results almost equal to RON (ROFF||RON) and for the lower
unaddressed memristors are kept unaffected by the input voltages. branch it is almost equal to ROFF (RON þROFF). As a consequence, the
Reading pulses are applied here at each simulation step in order to corresponding voltage drop on the lower part of the voltage
better demonstrate the changes to the memristor states and, divider is higher this time. Since this particular signal is involved
therefore, to the output of the simulated logic circuits. Using the in both output circuits, both F1 and F0 are found close to VDD, as
developed environment, we have successfully simulated all the expected. Similarly, since signal X ′2 affects only F1 and signal X ′1 is
presented memristor-based encoder/decoder circuits. involved only in F0, respectively, then a particular change in each
I. Vourkas, G.Ch. Sirakoulis / Microelectronics Journal 45 (2014) 59–70 67

Fig. 9. Simulation results for a 4  2 digital encoder. (a) The corresponding configuration of the simulator's logic tile. Yellow dots are configured junctions and black dots are
unconfigured cross-points. (b) The memristance change of a single memristor induced by an input pulse with time. (c) The output response of F0 (green) and F1 (red), for all
valid input variations of the complement signals X ′3 (red), X ′2 (green) and X ′1 (blue). The applied voltages are appropriately selected to exceed the threshold values VRESET and
VSET. The time gap between the input signal transitions, where the circuit outputs should be read, is highlighted using the vertical dotted-lines. (For interpretation of the
references to color in this figure legend, the reader is referred to the web version of this article.)

of the aforementioned signals induces a corresponding change signals found in the respective graph shown in Fig. 10b. Each signal
only to the output related with it, as can be seen in the circuit transition is always followed by the corresponding change of its
response of Fig. 9c. Each of the input signals is sequentially set to complement. In the output response graphs, attention should be
logic ‘1’ and logic ‘0’ to create all valid input combinations. The paid only after the complement of a particular signal completes its
corresponding binary output code can be observed in the deliber- transition, i.e. again within the deliberately marked time gap. The
ately left time gap between consecutive input signal transitions, simulation begins with the logic combination (X, Y)¼(0, 0) and the
which is particularly marked between the vertical black dotted- consecutive input signal transitions follow a two-bit width Gray-
lines in the output graphs of Fig. 9. Both outputs are kept at logic like code sequence, where only one input signal changes each
‘0’ when all input signals are also found at logic ‘0’. The threshold time, to finally return to the initial combination. This is done
voltage levels, i.e. VRESET and VSET, as well as the VDD level are purposely to demonstrate that the circuit always returns to its
denoted with horizontal red dotted-lines to facilitate comprehen- initial state. The notation and the employed colors are the same
sion of the circuit response. with the ones in Fig. 8 to facilitate visual correspondence with the
Fig. 10 presents the simulation results for a 2  4 digital circuit diagram. It should be noticed that there are periods where
decoder designed with memristors. The adjustable size of the more than one output signals are found at logic ‘1’. Nevertheless,
crossbar array can be noticed in the representation shown in this takes place only before the complement signals complete
Fig. 10a, which comprises a larger area for the FPMs to accom- their transition, because transitions of every input signal and its
modate the different number of used cross-point devices. Likewise complement are not simultaneously applied each time to the
in Fig. 9, colored lines are used to highlight the corresponding respective inputs of the circuit. This is why such cases should
68 I. Vourkas, G.Ch. Sirakoulis / Microelectronics Journal 45 (2014) 59–70

Fig. 10. Simulation results for a 2  4 decoder. (a) The corresponding configuration of the simulator's logic tile. Yellow dots are configured junctions and black dots are
unconfigured cross-points. (b) The output response of F0 (red), F1 (green), F2 (blue) and F3 (magenta) for all possible input variations of the signals X (red) and Y (blue).
The time gap between the input signal transitions, where the circuit outputs should be read, is highlighted using the vertical dotted-lines. (For interpretation of the
references to color in this figure legend, the reader is referred to the web version of this article.)

not be taken into consideration. It can be seen that in each of the To this end, the proposed hybrid underlying architecture can
denoted gaps only one of the decoder outputs is found at logic ‘1’ successfully accommodate the presented logic circuits, and thus it
(i.e. high voltage level) whereas the rest of the outputs remain low, addresses some manufacturability issues which might be a possible
as expected. Regarding the frequency of the input and output solution to fitting memristors into nowadays circuits. Although cas-
signals, we should mention that there is no particular relation cadability between separate circuits is not supported, given that
between them; the input pulse widths are selected based on the circuit inputs require negative voltage pulses as well, which do not
switching characteristics of individual memristors, whereas the appear in any circuit output, the suggested CMOS-like circuit
output signal duration depends only on the time when VDD and architecture with memristive elements is capable of universal
Gnd signals are applied. computation; the design of all fundamental digital logic operations
and thus of any Boolean function is possible. In [40] a multi-input
implication operation was introduced with complementary repre-
sentation of variables, showing that up to 2n 1 þ1 computational
7. Advantages and important characteristics steps were required for the synthesis of an n-input Boolean function
in stateful logic circuits. Compared to stateful logic, our complemen-
Memristors demonstrate a natural basis for computation that is tary logic approach is also sequential but achieves a significant
different from familiar paradigms, and memristor-based logic reduction in the computation steps needed. In specific, the computa-
opens new opportunities for the exploration of advanced compu- tion steps equal the number of the circuit's input signals plus (if
ter architectures. Maybe the most recognized logic design concept needed) the number of their complementary version, thus reaching a
so far for memristive devices is the stateful logic, which constitu- maximum of 2n for an n-input arbitrary Boolean function, given that
tes an unconventional, sequential computation framework. Such the input's complements are considered readily available. In this way,
concept is determined by the device properties, more than any a significant reduction in computation steps is achieved. In terms of
other previously conceived logic architecture, and has been circuit area, the exact number of memristors cannot be formerly
considered so far mainly at the level of elementary operations, defined because a specific logic function has many different imple-
but not in the context of large circuits. Furthermore, it is not mentations. Particularly, for the set of universal logic gates {NAND,
immediately clear what kind of computing architectures would in NOR, NOT} the number of memristors is {4, 4, 2} [21], thus the
practice benefit the most from the built-in logic computing proposed design scheme proves slightly more costly than stateful
capability offered by memristors. The relatively lengthy computa- logic; e.g. 3 devices were used for a 2-input NAND operation in [12].
tional sequences involved in memristive stateful (implication) However, it significantly simplifies the circuit design procedure
logic require the computing to be as parallel as possible. because the same design principles from CMOS VLSI are applied.
I. Vourkas, G.Ch. Sirakoulis / Microelectronics Journal 45 (2014) 59–70 69

Moreover, unlike other circuit design technologies where simulta- structure, where the presented memristive combinational circuits
neous application of all input signals is necessary, in memristor- can be effectively mapped. We proved the fine application of the
based logic we do not consider parallel processing of input signals. design methodology by exhibiting circuit functionality with simu-
This is because each time a particular input signal is applied to a lations of encoder/decoder circuits, conducted with a simulation
group of memristors, these specific devices need to be isolated using tool which incorporates a versatile memristor device model and
proper voltages at their terminals and at the respective selection facilitates the creation of logic computations with the use of
lines as well. However, parallel processing of input signals is not memristor-based circuits. This work constitutes a step forward,
essential for the overall function of the presented circuits; read- towards novel emerging technologies which are expected to
ing the circuit output only implies the application of VDD and Gnd dominate the electronic industry in the near future. This new
voltages without the need of interaction with the memristors. straightforward design methodology for memristor-based com-
During operation of the presented logic circuits, the current plex combinational circuits offers considerable flexibility to system
flowing directly to the ground depends on the individual memristor architects, in order to carry out the challenging task of integrating
characteristics (RON, ROFF) and the voltage used to read the circuits completely all-in-one memory and logic systems on novel nanoe-
output (VDD). According to the device characteristics mentioned in lectronic architectures. Accurate SPICE simulations will be part of
the literature [26], [41], we believe that flowing current might be in our future work on the development of memristive applications
the range of a few nA, which is nowadays comparable to SOI CMOS and particularly on the logic circuit design.
leakage current levels. The actual performance of such a logic-in-
crossbar array paradigm, especially in terms of processing speed
and energy consumption, will strongly depend on device material Acknowledgment
selection and operation schemes. The devices themselves are
capable of fast (nanoseconds) and low-energy (picojoules) switch- This work was supported in part by a scholarship from the
ing. The delay of the logic gates is the time required for the
BODOSSAKI Foundation in Greece.
memristive devices to be fully switched. The time required to
change the state of a memristor which is directly connected to a
voltage source, i.e. (write) from ‘0’ to ‘1’ and ‘1’ to ‘0’, was previously References
derived in [8] and it was found that it depends on the voltage drop
across the memristor and its physical parameters. Higher voltage [1] J.R. Heath, P.J. Kuekes, G.S. Snider, R.S. Williams, A defect-tolerant computer
pulses switch the junction much more quickly, thus reducing architecture: opportunities for nanotechnology, Science 280 (1998) 1716–1721.
[2] P.J. Kuekes, G.S. Snider, R.S. Williams, Crossbar nanocomputers, Sci. Am. Mag.
switching energy. The power consumed during logic operations is
293 (2005) 72–78.
dependent on the resistance of all memristive devices involved in [3] A. Dehon, Array-based architecture for FET-based nanoscale electronics, IEEE
the computational process. Certainly, real switching times as given Trans. Nanotechnol. 1 (2003) 23–32.
by exhaustive lab experiments [42] reach o10 ns and thus make [4] L.O. Chua, Memristor—the missing circuit element, IEEE Trans. Circuit Theory
18 (1971) 507–519.
resistance switching devices competitive to currently available [5] D.B. Strukov, G.S. Snider, D.R. Stewart, R.S. Williams, The missing memristor
devices. A sub-nanosecond switching of tantalum oxide memristive found, Nature 453 (2008) 80–83.
devices [43] provides at the moment the best estimate for the [6] R.S. Williams, How we found the missing memristor, IEEE Spectr. 12 (2008)
28–35.
duration of logic computations. [7] K. Eshraghian, K.-R. Cho, O. Kavehei, S.-K. Kang, D. Abbott, S.-M.S. Kang,
Regarding the performance of the presented logic circuits in MOS Memristor, content addressable memory (MCAM): hybrid architecture
terms of other basic circuit properties like area and operation for future high performance search engines, IEEE Trans. Very Large Scale
Integr. (VLSI) Syst. 8 (2011) 1407–1416.
speed, it should be noted that the size of a typical memristor is [8] Y. Ho, G.M. Huang, P. Li, Dynamical properties and design analysis for
relatively small; hence memristor-based circuits may be smaller nonvolatile memristor memories, IEEE Trans. Circuits Syst. I, Regul. Papers 4
than circuits comprising only CMOS transistors. Integrating mem- (2011) 724–736.
[9] R. Waser, Resistive non-volatile memory devices, (Invited Paper), Microelec-
ristors and CMOS devices for performing logical operations may tron. Eng. 7–9 (2009) 1925–1928.
prove beneficial since memristors can be fabricated within the [10] G. Howard, E. Gale, L. Bull, B. de Lacy Costello, A. Adamatzky, Evolution of
metal layers, thus the integration saves physical area and therefore plastic learning in spiking networks via memristive connections, IEEE Trans.
Evolut. Computation 5 (2012) 711–729.
the possible logic density increases. Consequently, in terms of area
[11] H. Kim, M.Pd. Sah, C. Yang, T. Roska, L.O. Chua, Neural synaptic weighting with
and speed, memristor-based combinational circuits can be proved a pulse-based memristor circuit, IEEE Trans. Circuits Syst. I, Regul. Papers 1
to be very competitive, even though memristor circuit technology (2012) 148–158.
is still at an early stage, also exhibiting comparable power [12] J. Borghetti, G.S. Snider, P.J. Kuekes, J.J. Yang, D.R. Stewart, R.S. Williams,
Memristive switches enable ‘stateful’ logic operations via material implication,
consumption with conventional circuit technologies. Such char- Nat. Lett. 464 (2010) 873–876.
acteristics qualify memristor as a promising candidate to be used [13] A. Talukdar, A.G. Radwan, K.N. Salama, Generalized model for Memristor-
in future electronic systems' design. based Wien family oscillators, Microelectron. J. 42 (2011) 1032–1038.
[14] A. Talukdar, A.G. Radwan, K.N. Salama, Non linear dynamics of memristor
based 3rd order oscillatory system, Microelectron. J. 43 (2012) 169–175.
[15] V. Erokhin, G.D. Howard, A. Adamatzky, Organic memristor devices for logic
8. Conclusions elements with memory, Int. J. Bifurc. Chaos 11 (2012) 1250283.
[16] M.H. Ben Jamaa, K.E. Moselund, D. Atienza, D. Bouvet, A.M. Ionescu,
Y. Leblebici, G. De Micheli, Variability-aware design of multilevel logic
This work focuses on memristor-based combinational logic decoders for nanoscale crossbar memories, IEEE Trans. Comput. Aided Des.
circuits, particularly presenting a design methodology for digital Integr. Syst. 11 (2008) 2053–2067.
[17] M.S. Qureshi, M. Pickett, F. Miao, J.P. Strachan, CMOS interface circuits for reading
encoder and decoder circuits. Encoders are commonly used in and writing memristor crossbar array, in: Proceedings of IEEE International
nowadays electronic devices and decoders are especially impor- Symposium on Circuits and Systems (ISCAS), 2011, pp. 2954–2957.
tant for nanocircuitry mainly concerning memory systems. Fol- [18] Y. Chen, G.-Y. Jung, D.A.A. Ohlberg, X. Li, D.R. Stewart, J.O. Jeppesen,
K.A. Nielsen, J.F. Stoddart, R.S. Williams, Nanoscale molecular-switch crossbar
lowing a simple yet effective circuit design methodology,
circuits, Nanotechnology 14 (2003) 462–468.
consistent with CMOS-based design, we showed how any 2n  n [19] G.S. Snider, P.J. Kuekes, R.S. Williams, CMOS-like logic in defective, nanoscale
(n  2n) digital encoder (decoder) circuit can be successfully crossbars, Nanotechnology 15 (2004) 881–891.
designed using appropriately polarized memristors. Additionally, [20] Easy Java Simulations [Online]. Available from: 〈http://fem.um.es/Ejs/〉, 2013.
[21] I. Vourkas, G.Ch. Sirakoulis, A novel design and modeling paradigm
we investigated in suitable hardware implementations and pro- for memristor-based crossbar circuits, IEEE Trans. Nanotechnol. 6 (2012)
posed an elaborated memristor-based hybrid nano/CMOS crossbar 1151–1159.
70 I. Vourkas, G.Ch. Sirakoulis / Microelectronics Journal 45 (2014) 59–70

[22] M. Gholipour, N. Masoumi, Design investigation of nanoelectronic circuits [34] M.A. Zidan, H.A.H. Fahmy, M.M. Hussain, K.N. Salama, Memristor-based
using crossbar-based nanoarchitectures, Microelectron. J. 44 (2013) 190–200. memory: the sneak paths problem and solutions, Microelectron. J. 2 (2013)
[23] J.H. Nickel, J.P. Strachan, M.D. Pickett, C.T. Schamp, J.J. Yang, J.A. Graham, 176–183.
R.S. Williams, Memristor structures for high scalability: non-linear and [35] C. Yakopcic, T.M. Taha, G. Subramanyam, R.E. Pino, S. Rogers, Analysis of a
symmetric devices utilizing fabrication friendly materials and processes, memristor based 1T1M crossbar architecture, in: Proceedings of the Interna-
Microelectron. Eng. 103 (2013) 66–69. tional Joint Conference on Neural Networks (IJCNN), 2011, pp. 3243–3247.
[24] T. Prodromakis, C. Toumazou, L.O. Chua, Two centuries of memristors, Nat. [36] S. Kim, H.Y. Jeong, S.K. Kim, S.-Y. Choi, K.J. Lee, Flexible memristive memory
Mater. 6 (2012) 477–557. array on plastic substrates, Nano Lett. 12 (2011) 5438–5442.
[25] L.O. Chua, S.M. Kang, Memristive devices and systems, Proc. IEEE 2 (1976) [37] K.-H. Kim, S. Gaba, D. Wheeler, J.M. Cruz-Albrecht, T. Hussain, N. Srinivasa,
209–223. W. Lu, A Functional hybrid memristor crossbar-array/CMOS system for data
[26] Y.V. Pershin, M. Di Ventra, Memory effects in complex materials and nanoscale
storage and neuromorphic applications, Nano Lett. 1 (2012) 389–395.
systems, Adv. Phys. 2 (2011) 145–227.
[38] D.B. Strukov, K.K. Likharev, CMOL FPGA: a reconfigurable architecture for
[27] Y.N. Joklekar, S.J. Wolf, The elusive memristor: properties of basic electrical
hybrid digital circuits with two-terminal nanodevices, Nanotechnology 16
circuits, Eur. J. Phys. 30 (2009) 661–675.
(2005) 888–900.
[28] Z. Biolek, D. Biolek, V. Biolkova, SPICE model of memristor with nonlinear
[39] G.S. Snider, R.S. Williams, Nano/CMOS architectures using a field-pro-
dopant drift, Radioengineering 2 (2009) 210–214.
[29] C. Yakopcic, T.M. Taha, G. Subramanyam, R.E. Pino, S. Rogers, A memristor grammable nanowire interconnect, Nanotechnology 18 (2007) 035204.
device model, IEEE El. Dev. Lett. 10 (2011) 1436–1438. [40] E. Lehtonen, J.H. Poikonen, M. Laiho, Implication logic synthesis methods for
[30] S. Shin, K. Kim, S. Kang, Compact models for memristors based on charge-flux memristors, in: Proceedings of International Symposium on Circuits and
constitutive relationships, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. System, ISCAS, 2012, pp. 2441–2444.
4 (2010) 590–598. [41] International Technology Roadmap for Semiconductors [Online]. Available
[31] S. Kvatinsky, E.G. Friedman, A. Kolodny, U.C. Weiser, TEAM: ThrEshold from: 〈http://www.itrs.net/〉, 2013.
adaptive memristor model, IEEE Trans. Circuits Syst. I, Regul. Papers 1 [42] H.-D. Kim, H.-M. An, E.B. Lee, T.G. Kim, Stable bipolar resistive switching
(2013) 211–221. characteristics and resistive switching mechanisms observed in aluminum
[32] M.D. Pickett, D.B. Strukov, J.L. Borghetti, J.J. Yang, G.S. Snider, D.R. Stewart, R. nitride-based ReRAM devices, IEEE Trans. Electron. Dev. 10 (2011) 3566–3573.
S. Williams, Switching dynamics in titanium dioxide memristive devices, [43] A.C. Torrezan, J.P. Strachan, G. Medeiros-Ribeiro, R.S. Williams, Sub-
J. Appl. Phys. 7 (2009) 074508. nanosecond switching of a tantalum oxide memristor, Nanotechnology 48
[33] T.V. Perevalov, V.Sh. Aliev, V.A. Gritsenko, A.A. Saraev, V.V. Kaichev, Electronic (2011) 485203.
structure of oxygen vacancies in hafnium oxide, Microelectron. Eng. 109
(2013) 21–23.

Vous aimerez peut-être aussi