Académique Documents
Professionnel Documents
Culture Documents
2)
QUARTUS
ISE
WINCUPL
d.1)
VHDL
library ieee; P(0)<= B(2) XOR A(2) XOR A(1) XOR A(0);
use ieee.std_logic_1164.all; P(1)<= B(1) XOR A(3) XOR A(1) XOR A(0);
entity comparador is P(2)<= B(0) XOR A(3) XOR A(2) XOR A(0);
port (A: IN std_logic_vector(3 downto 0);
C: out std_logic_vector(6 downto C(0) <= '1' WHEN P="001" ELSE '0';
0)); C(1) <= '1' WHEN P="010" ELSE '0';
end comparador; C(2) <= '1' WHEN P="011" ELSE '0';
architecture EBLM of comparador is C(3) <= '1' WHEN P="100" ELSE '0';
signal B:std_logic_vector(2 downto 0); C(4) <= '1' WHEN P="101" ELSE '0';
signal P:std_logic_vector(2 downto 0); C(5) <= '1' WHEN P="110" ELSE '0';
begin C(6) <= '1' WHEN P="111" ELSE '0';
QUARTUS (El detector de bits con error no reconoció ningún error en los bits del 1 al 7)
ISE
WINCUPL
VHDL