Académique Documents
Professionnel Documents
Culture Documents
BCD A 7 SEGMENTOS
Presentado por:
Nicolás Mora
Gustavo Parra
Daniel Moreno
Septiembre 2018
Desarrollo:
Objetivo general:
Se busca conseguir la simulación de un
Realizar un conversor de BDC a salida 7- conversor de entrada BCD hacia una
segementos salida de 7 segmentos, para esto se usa la
programación en vhdl, para comenzar se
Objetivos específicos:
hace todo el análisis en función de la
Desarrollar un código que permita herramienta when select, esta permite
la conversión de dicho sistema hacer la comparación entre los datos de
Crear una prueba de la simulación entrada y la salida, cabe aclarar que se
definen previamente el bus de entrada y
Procedimiento: salida de datos a manejar, en este caso
particular se manejan bus de datos de 4
1. Como primera medida se crea un
bits en la entrada y a la salida se tienen 7
nuevo proyecto en el software ise
desing bits
2. Seguidamente se define por defecto Para la elaboración de esta simulación se
el programa a realizar
opera, manipulando las entradas al
3. Se definen las variables a trabajar,
sistema, tomando dos valores por
determinando si estas son entradas
encima del numero 9 en base de 4 bits y
o salidas del sistema a realizar,
también se deben definir los dos números mas por debajo de este,
tamaños o el numero de bits a usar también en la base de 4 bits, al realizar la
en cada variable simulación se logra ver la salida de cada
4. Posteriormente se deben llamar al dato incial y corresponde a la lógica que
código las librerías que se requieran se pretende desarrollar
en el desarrollo de la practica
5. Luego se procede a la realización del
código línea a línea teniendo en
Código ise desing:
cuenta las variables y la arquitectura
a usar library IEEE;
6. Se implementa la función when
select para comparar los valores de use IEEE.STD_LOGIC_1164.ALL;
entrada y salida, de esta manera
entity BDC_7SEG is
definir en el programa la acción a
ejecutar Port ( BCDIN : in STD_LOGIC_VECTOR
7. Después de implementar el código se (3 downto 0);
verifica su sintaxis
8. Seguidamente se realiza una nueva SEGOUT : out STD_LOGIC_VECTOR
prueba determinando los valores de (6 downto 0));
entrada al conversor y se mira la
end BDC_7SEG;
salida del mismo
architecture Behavioral of BDC_7SEG is
begin
WITH BCDIN SELECT SEGOUT <=
"0000001" WHEN "0000",
"1001111" WHEN "0001",
"0010010" WHEN "0010",
"0000110" WHEN "0011",
"1001100" WHEN "0100",
"0100100" WHEN "0101",
"0100000" WHEN "0110",
"0001111" WHEN "0111",
"0000001" WHEN "1000",
"0000100" WHEN OTHERS;
end Behavioral;
SIMULACIÓN: