Vous êtes sur la page 1sur 19

Tarea 1 - Sistemas de Numeración y Simplificación de Funciones Lógicas

Documento de identidad

Grupo del curs0


243004_29

Presentado a
Sandra Milena Garcia

Marzo de 2019

Contenido
Introducción .......................................................................................................................3

1
Objetivos ............................................................................................................................4
1 Realice las siguientes conversiones de base 10 a la base indicada .............................5
2 Convierta los siguientes números a complemento a 2 con el número bits indicados .7
3 Sea la siguiente función Booleana 𝑨, 𝑩, 𝑪, 𝑫 = (𝟏, 𝟒, 𝟔, 𝟖, 𝟏𝟎, 𝟏𝟒, 𝟏𝟓) ...................7
c. Implemente en VHDL ambas expresiones usando el software Vivado. En el
informe debe incluir una impresión de pantalla de la descripción en VHDL y la
simulación. .....................................................................................................................8
Impresión de pantalla simulación ..................................................................................8
Impresión de pantalla para la función ............................................................................9
F(A,B,C,D) = (A+B+D) (A+B+C’) (A+C’+D’) (A+B’+D’) (B’+C+D’) (A’+B’+C)
(A’+C+D’) (A+’B+D’) ..................................................................................................9
Simulación ...................................................................................................................10
4. Sea la siguiente función Booleana (A,B,C,D)=∏(1,3,5,7,9) ................................10
a. Utilizando mapas de Karnaught encuentre la mínima expresión Suma de
Productos......................................................................................................................10
b) Utilizando mapas de Karnaught encuentre la mínima expresión Producto de
Sumas ...........................................................................................................................10
c) Implemente en VHDL ambas expresiones usando el software Vivado. En el
informe debe incluir una impresión de pantalla de la descripción en VHDL y la
simulación. ...................................................................................................................11
5. En una empresa embotelladora de gaseosas, en su sistema de producción tienen
implementadas cuatro bandas transportadoras, que permiten hacer el ciclo de
envasado. ......................................................................................................................13
6. Sea la siguiente función Booleana, en donde los primeros términos son los
mintérminos (m) y los segundos (d) son condiciones libres ........................................15
a. Implemente en VHDL la expresión usando el software Vivado. En el informe
debe incluir una impresión de pantalla de la descripción en VHDL y la simulación. .16
Conclusiones ....................................................................................................................18
Bibliografía ......................................................................................................................19

2
Introducción

En el contenido de este documento se puede evidenciar el desarrollo de actividades en


las que el estudiante conocerá los sistemas de numeración y conversión los cuales se
emplean para las operaciones matemáticas en el diseño de circuitos lógicos
Para el desarrollo de este trabajo se siguieron los lineamientos de la guía, la
documentación y ayudas que ofrecieron los tutores.

3
Objetivos
El propósito principal iniciar el desarrollo de las operaciones y simplificación con los
mapas de Karnough y el posterior diseño de circuitos digitales, impementados con el
Sofware Vivado

4
1 Realice las siguientes conversiones de base 10 a la base indicada

a. 76545, 1545 a Hexadecimal


R// Parte entera = 12B01:
76545 ÷ 16 = 4784 con resto 1
4784 ÷ 16 = 299 con resto 0
299 ÷ 16 = 18 con resto 11 -> B
18 ÷ 16 = 1 con resto 2
1 -> 1
R// Parte decimal = 278D4F
.1545 x 16 = 2.472
.472 x 16 = 7.552
.552 x 16 = 8.832
.832 x 16 = 13.312
.312 x 16 = 4.992
.992 x 15 = 15.872
12B01. 278D4F

b. 201,1554 a Binario

R// Parte entera 11001001

201 ÷ 2 = 100 con resto 1


100 ÷ 2 = 50 con resto 0
50 ÷ 2 = 25 con resto 0
25 ÷ 2 = 12 con resto 1
12 ÷ 2 = 6 con resto 0
6 ÷ 2 = 3 con resto 0
3 ÷ 2 = 1 con resto 1
1 ÷ 2 = 0 con resto 1

5
Parte decimal 00100111

.1554 x 2 = 0.3108
.3108 x 2 = 0.6216
.6216 x 2 = 1.2432
.2432 x 2 = 0.4864
.4864 x 2 = 0.9728
.9728 x 2 = 1.9456
.9456 x 2 = 1.8912
.8912 x 2 = 1.7824

11001001. 00100111

c. 99,4541 a Hexadecimal

R// Parte entera 63

99 ÷ 16 = 6 con resto 3
6 ÷ 16 = 0 con resto 6

Parte decimal

.4541 x 16 = 7.2656
.2656 x 16 = 4.2496
.2496 x 16 = 3.9936
.9936 x 16 = 15.8976
.8976 x 16 = 14.3615
.3615 x 16 = 5.784
.784 x 16 = 12.544

d. 55AA a Binario

R// 01010101101010102

A = 10102
A = 10102
5 = 01012
5 = 01012

6
2 Convierta los siguientes números a complemento a 2 con el número bits indicados

a. -15 con 6 bits

R// 110001 c2

b. 58 con 6 bits

R// El número de bits usados no es suficiente para que el bit más


significativo sea 0

c. -92 con 7 bits

R// El número de bits usados no es suficiente para que el bit más significativo
sea 1

d. -32 con 6 bits

R// 100000

3 Sea la siguiente función Booleana (𝑨, 𝑩, 𝑪, 𝑫) = ∑(𝟏, 𝟒, 𝟔, 𝟖, 𝟏𝟎, 𝟏𝟒, 𝟏𝟓)

a. Utilizando mapas de Karnaught encuentre la mínima expresión Suma de


Productos.

CD
AB 00 01 11 10
00 1
01 1 1
11 1 1
10 1 1

F(A,B,C,D) = A’B’C’D+A’BD’+ABC+AB’D’

b. Utilizando mapas de Karnaught encuentre la mínima expresión Producto


de Sumas.
7
CD
AB 00 01 11 10
00 0 0 0
01 0 0
11 0 0
10 0 0

F(A,B,C,D) = (A+B+D) (A+B+C’) (A+C’+D’) (A+B’+D’) (B’+C+D’) (A’+B’+C)


(A’+C+D’) (A+’B+D’)

c. Implemente en VHDL ambas expresiones usando el software Vivado. En el


informe debe incluir una impresión de pantalla de la descripción en VHDL
y la simulación.

Impresión de pantalla de la descripción


F(A,B,C,D) = A’B’C’D+A’BD’+ABC+AB’D’

Impresión de pantalla simulación

8
Impresión de pantalla para la función

F(A,B,C,D) = (A+B+D) (A+B+C’) (A+C’+D’) (A+B’+D’) (B’+C+D’) (A’+B’+C)


(A’+C+D’) (A+’B+D’)

9
Simulación

4. Sea la siguiente función Booleana (A,B,C,D)=∏(1,3,5,7,9)

a. Utilizando mapas de Karnaught encuentre la mínima expresión Suma de


Productos.

CD
AB 00 01 11 10
00 1 1
01 1 1
11 1 1 1 1
10 1 1 1

F(A,B,C,D) = D+AB+AC++C’D’+CD’

b) Utilizando mapas de Karnaught encuentre la mínima expresión Producto de


Sumas

10
CD
AB 00 01 11 10
00 0 0
01 0 0
11
10 0
a. F(A,B,C,D) = (A+D’) (B+C+D’)

c) Implemente en VHDL ambas expresiones usando el software Vivado. En


el informe debe incluir una impresión de pantalla de la descripción en
VHDL y la simulación.

Descripción y simulación de F(A,B,C,D) = D+AB+AC++C’D’+CD’

11
Descripción y simulación de F(A,B,C,D) = (A+D’) (B+C+D’)

12
5. En una empresa embotelladora de gaseosas, en su sistema de producción
tienen implementadas cuatro bandas transportadoras, que permiten hacer el ciclo
de envasado.

En la banda 1 se transporta las botellas para inyectar el producto, la banda 2 se tapa la


botella, en la banda 3 se coloca la etiqueta y la banda 4 tiene el sistema de empaquetado
para la presentación al cliente. Cada banda cuenta con un sensor de final de carrera que
permite dar continuidad al proceso, o parar el ciclo en caso de un atasco. La velocidad
del proceso está condicionada por la banda 4, que depende de la agilidad de los
operarios para colocar las canastas.
Los sensores se activan (Estado 1), si están girando correctamente y se desactiva (Estado
0), en caso que alguna banda llegue a fallar.
Diseñe un circuito que a partir de la información proporcionada por estos sensores
active una alarma cuando falle la banda 4, y otra cuando fallen más de dos bandas.
Encuentre una tabla de verdad que modele el funcionamiento del circuito. Esta tabla
tendrá cuatro entradas (una por cada sensor) y dos salidas (una cuando que indica
cuando falla la banda 4 y otra para indicar cuando está fallando más de una banda).

13
F(Al G(Al + de 2
POSICIÓN A B C D Banda 4) Bandas)
0 0 0 0 0 1 1
1 0 0 0 1 1
2 0 0 1 0 1 1
3 0 0 1 1
4 0 1 0 0 1 1
5 0 1 0 1
6 0 1 1 0 1
7 0 1 1 1
8 1 0 0 0 1 1
9 1 0 0 1
10 1 0 1 0 1
11 1 0 1 1
12 1 1 0 0 1
13 1 1 0 1
14 1 1 1 0 1
15 1 1 1 1
Simplifique dicha tabla de verdad usando Karnaught e impleméntela en VHDL.
F(A,B,C,D) = (0,2,4,6,8,10,12,14)

CD
AB 00 01 11 10
00 1 1
01 1 1
11 1 1
10 1 1

F = D’+C’D’+CD’
F(A, B, C, D) = (0, 1, 2, 4,8)

CD
AB 00 01 11 10
00 1 1 1
01 1
11
10 1

G = A’B’C’ + A’C’D’ + A’B’D’ + B’C’D’


14
c) Simule su diseño en VIVADO para comprobar el correcto funcionamiento de su
circuito.

6. Sea la siguiente función Booleana, en donde los primeros términos son los
mintérminos (m) y los segundos (d) son condiciones libres

15
𝑭(𝑨, 𝑩, 𝑪, 𝑫) = ∑(𝟐, 𝟓, 𝟖, 𝟗) + ∑ (𝟏, 𝟏𝟏, 𝟏𝟑)
𝒅

Encuentre la mínima expresión SOP, usando mapas de Karnaught.


CD
AB 00 01 11 10
00 x 0 0 1
01 0 1 0 0
11 0 x 0 0
10 1 1 x 0

F = A’B’D’ + B’C’D’ + BC’D + AC’D +AB’D + AB’C’

a. Implemente en VHDL la expresión usando el software Vivado. En el


informe debe incluir una impresión de pantalla de la descripción en
VHDL y la simulación.

16
17
Conclusiones

Con el desarrollo de este trabajo aprendemos la manera básica que se utiliza enel curso
para el análisis y diseño de circuitos lógicos.
Se comprende mucha más el uso de la herramienta Vivado

18
Bibliografía

Muñoz, J. (2012). Introducción a los Sistemas Digitales: Un enfoque usando Lenguajes


de Descripción de Hardware. (Capítulos 1, pp. 19-66). Madrid. Recuperado
de: http://www.etnassoft.com/biblioteca/introduccion-a-los-sistemas-digitales
Palmer, James E., and David E. Perlman (1995). Introducción a los sistemas digitales,
McGraw-Hill Interamericana. (Capítulos 2 y 3, pp. 1-69). ProQuest Ebook Central,
Recuperado
de:https://bibliotecavirtual.unad.edu.co:2538/lib/unadsp/detail.action?docID=3192137

Fajardo, Carlos. Curso de VHDL , Recuperado


de: https://www.youtube.com/playlist?list=PL2blEv9cKDsTV6ZFJsC1PHFSFvi6Yt2B
r
Sandra, S. (2018, Noviembre 15), Fundamentos de Sistemas Digitales. Recuperado
de: http://hdl.handle.net/10596/22561
Fajardo, C. (2016, Diciembre 13), Diseño de un circuito combinacional usando el
software ISE 14.7 [Archivo de video]. Recuperado
de: http://repository.unad.edu.co/handle/10596/9655
Chu, P. P. (2006). RTL Hardware Design Using VHDL: Coding for Efficiency,
Portability, and Scalability. Hoboken, N.J.: Wiley-IEEE Press. Recuperado
de: http://bibliotecavirtual.unad.edu.co:2051/login.aspx?direct=true&db=e000xww&AN
=158127&lang=es&site=ehost-live
link archivo de
simulación: https://docs.google.com/document/d/1hPDZPYitREjT7yO7pQ9LG4Z9y1i-
ElThHLf72hGPf6k/edit
Fajardo, C. (2016, Diciembre 13), Diseño de un circuito combinacional usando el
software ISE 14.7 [Archivo de video]. Recuperado
de: http://repository.unad.edu.co/handle/10596/9655
Fajardo, C. (2017, julio 12), Introducción a VHDL. [Archivo de video], Recuperado de
https://youtu.be/X53K330jGTk

19

Vous aimerez peut-être aussi