Vous êtes sur la page 1sur 3

1.

Describa en VDHL tres multiplexores utilizando la sentencia with-


select. Los tres multiplexores deben tener un tamaño diferente (2 a
1, 8 a 1, etc.) y cada entrada un número de bits diferente.
a. Un pantallazo de la descripción en VHDL (Ver la advertencia
al final de la guía, con respecto a las impresiones de
pantallas válidos)
Un pantallazo del resultado (diagrama) de la simulación, en el cual se
debe evidenciar el correcto funcionamiento del diseño. NO se debe
incluir el código VHDL de la simulación

16ª1

Vous aimerez peut-être aussi