Vous êtes sur la page 1sur 5

EJERCICIO PROPUESTOS

EJERCICIO PROPUESTO 8
1. TEMA:
Comparación 3 números

2. OBJETIVOS:
La presente practica tiene como finalidad generar un comparador de tres números de 4
dígitos ingresados de forma paralela en el software xilinx y que genere el orden de los
mismos mediante tres salidas las cuales indicarían el número mayor, menor o igual .

3. DESCRIPCIÓN DEL EJERCICIO:


La presente práctica tiene como finalidad programar un sistema de ordenamiento de
mayor a menor según los números ingresados.

4. MATERIALES Y EQUIPOS
Equipos y Software
Computadora
ISE Design Suite

5. PROGRAMA COMENTADO:
Código:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity comparacion is

Port ( dato1 : in STD_LOGIC_VECTOR (3 downto 0);


dato2 : in STD_LOGIC_VECTOR (3 downto 0);
dato3 : in STD_LOGIC_VECTOR (3 downto 0);
mayor: out STD_LOGIC_VECTOR (3 downto 0);
menor: out STD_LOGIC_VECTOR (3 downto 0);
medio: out STD_LOGIC_VECTOR (3 downto 0);
iguales: out STD_LOGIC_VECTOR (3 downto 0));

end comparacion;

architecture Behavioral of comparacion is

begin

process(dato1, dato2, dato3)


begin
if (dato1<"1111") then
if (dato2<"1111") then
if (dato3<"1111") then

if (dato1>dato2) and (dato2>dato3) then


mayor <= dato1;
medio <= dato2;
menor <= dato3;
else
if (dato1>dato3) and (dato3>dato2) then
mayor <= dato1;
medio <= dato3;
menor <= dato2;
else
if (dato2>dato1) and (dato1>dato3) then
mayor <= dato2;
medio <= dato1;
menor <= dato3;
else
if (dato2>dato3) and (dato3>dato1) then
mayor <= dato2;
medio <= dato3;
menor <= dato1;
else
if (dato3>dato1) and (dato1>dato2) then
mayor <= dato3;
medio <= dato1;
menor <= dato2;
else
if (dato3>dato2) and (dato2>dato1) then
mayor <= dato3;
medio <= dato2;
menor <= dato1;
else
iguales <= dato1;
mayor <= "0000";
menor <= "0000";
medio <= "0000";
end if;
end if;
end if;
end if;
end if; end if;

else
mayor <= "0000";
menor <= "0000";
medio <= "0000";
iguales <= "0000";
end if;
else
mayor <= "0000";
menor <= "0000";
medio <= "0000";
iguales <= "0000";
end if;
else
mayor <= "0000";
menor <= "0000";
medio <= "0000";
iguales <= "0000";
end if;
end process;

end Behavioral;

RTL

Figura 1. Modelo RTL

SCHEMATIC
Figura 2. Modelo Schematic

Simulación

Figura 3. Simulación
6. ANÁLISIS DE RESULTADOS

 Para la generación del comparador se debe tomar en cuenta que al haber


valores iguales no se presentaran los demás valores sean estoy mayor,
menor y medio.
 La simulación nos muestra como resultado el ordenamiento correcto de
todos los valores ingresados.

Vous aimerez peut-être aussi