Vous êtes sur la page 1sur 7

Lógica Digital – Laboratorio 1 1

Laboratorio 1 – Diseño de Circuitos


Combinacionales
Dalmiro Barrios (Cod. 200023586 , dalmirob@uninorte.edu.co) – Alvaro Acevedo (200024118 ,
afernandezdecastro@uninorte.edu.co), Iván Yaber (Cód. 200023903, yaberi@uninorte.edu.co)


Resumen—En el presente documento, se pretende diseñar,
construir y analizar circuitos de lógica combinacional,
aplicando herramientas de minimización digital para
II. PROCEDIMIENTO, ANÁLISIS
llevarlo a cabo con la menor cantidad de compuertas posible.
Se implementarán las compuertas de drenador (colector) Parte I
común y disparador Smith, de manera que se pueda estudiar Circuito de control para encendido automático
su funcionamiento. Se contara con la ayuda de simulaciones de luces.
en VHDL de algunos de los circuitos resultantes.

Index Terms— Palabras Claves. Lógica combinacional, Teniendo en cuenta los requerimientos del cliente y
drenador común. Disparador Smith, VHDL. el diagrama que se muestra en la figura 1, resultan
las consideraciones mostradas en las tablas 1, 2 y 3.

I. INTRODUCCIÓN

Un circuito que implementa la conexión de


compuertas lógicas entre sí, con el fin de obtener
cierta salida, utilizando combinaciones de niveles
de entrada determinados, sin que se produzca
almacenamiento de algún tipo, constituye lo que se
conoce como lógica combinacional, en la cual sus
salidas son función exclusiva de sus entradas para
cierto momento.

Siguiendo con lo anterior, las funciones OR, AND,


NAND, XOR son booleanas, por lo que pueden ser
representadas en una tabla de verdad, lo que indica Figura 1
por tanto, que carecen de memoria y
retroalimentación. La lógica combinacional está Para identificar el cambio de luz entre el día y
constituida por ecuaciones relativamente simples, a la noche se utiliza una fotocelda, cuya respuesta
partir de operaciones del algebra booleana. de acuerdo al datasheet, está representada en la
tabla 1.
Por otro lado, los circuitos combinacionales pueden
simbolizarse usando el algebra booleana partiendo Día Noche
de su función lógica, logrando así generar de forma 1 0
matemática su funcionamiento, la señales en la Tabla 1

entrada pueden tomarse como variables de la


ecuación lógica de salida, Luego, tenemos el Switch para activar la luz de la
casa en cualquier momento, su respuesta se
muestra en la tabla 2
Lógica Digital – Laboratorio 1 2

ON OFF A\B 0 1
0 1 0 0 1
Tabla2 1 1 1

Por otro lado, para verificar la presencia del carro Del mapa de Karnaugh anterior, se obtiene la
en el garaje, se dispone del sensor (CNY70), para lo función:
cual se establece una respuesta lógica, que se
muestra en la tabla 3. 𝐹𝑦 = 𝐴 + 𝐵
Carro No carro Una de las exigencias de la práctica, es realizar el
0 1 arreglo de compuertas solamente con compuertas
Tabla3
NAND y NOT’s, por lo tanto el circuito diseñado es
A continuación, se muestran las tablas de verdad y como se ilustra en la figura 2:
los mapas de Karnaugh; una tabla representa el
circuito de la casa, y la otra el del garaje.

Fotocelda → A
Switch → S
Sensor → B

Para A y S
A S X
0 0 0
0 1 0
1 0 0 Figura 2
1 1 1

El diagrama de temporización para este circuito


Se procede a realizar el mapa de Karnaugh: lógico se muestra a continuacion

A\S 0 1
0 0 0
1 0 1

Este mapa de Karnaugh, da como resultado la


siguiente función:

𝐹𝑥 = 𝐴𝑆

Para A y B

A B Y
0 0 0
0 1 1
1 0 1
1 1 1
Lógica Digital – Laboratorio 1 3

compuerta Smith Trigger, lo que resulta que en R


El montaje físico de dicho dispositivo se observa a el voltaje debe ser 1.6V para VTH y 0.7V para
continuación: VTL.

Ahora, por ley de nodos calculamos primero Rmín:

5 − 1.6 1.6
− =0
𝑅𝑓𝑑𝑖𝑎 𝑅𝑚𝑖𝑛

𝑅𝑓𝑑𝑖𝑎 × 1.6 350 × 1.6


𝑅𝑚𝑖𝑛 = = = 165Ω
5 − 1.6 3.4

Luego Rmáx:

5 − 0.7 0.7
− =0
𝑅𝑓𝑛𝑜𝑐ℎ𝑒 𝑅𝑚𝑎𝑥

𝑅𝑓𝑛𝑜𝑐ℎ𝑒 × 0.7 50𝑘 × 0.7


𝑅𝑚á𝑥 = = = 4.9𝑘Ω
5 − 0.7 7.14
Para el diseño del circuito, se tuvo en cuenta el
procedimiento que se indica en la guía de En este orden de ideas, es importante mencionar el
laboratorio: porqué de la escogencia de cada uno de los
elementos que componen nuestro circuito. En
La foto celda es representada por Rf. Esta primera instancia, las compuertas NOT y NAND ,
resistencia toma valores dependiendo de la de referencia HD74LS14P y HD74LS01P
presencia o no presencia de luz. Para calcular el respectivamente, la compuerta NOT, de dicha
valor de R se utilizaron los valores máximos y referencia se seleccionó debido a que presenta
mínimos de Rf que se pueden hallar en el datasheet. característica Smith Trigger, de manera que usa la
histéresis para prevenir el ruido que podría sumarse
𝑅𝑓𝑑𝑖𝑎 = 350Ω a la señal original y que causaría los no deseados
𝑅𝑓𝑛𝑜𝑐ℎ𝑒 = 50𝑘Ω falsos cambios de estado si los niveles de referencia
de entrada son muy parecidos, lo anterior se debe a
En la figura 3, se puede observar la sección del que las compuertas Smith Trigger presentan una
circuito donde se hacen los cálculos de R. zona de incertidumbre reducida, cuyo 𝑉𝑇𝐿 es según
la hoja de datos del fabricante aproximadamente 0,7
V, y su 𝑉𝑇𝐻 1,6 V . A continuación se puede
apreciar cómo están dispuestos internamente cada
uno de los encapsulados.

HD74LS14P

Figura 3

Por otra parte, R debe ser tal que la caída en ésta


tenga un cambio mayor a la histéresis de la Figura 4
Lógica Digital – Laboratorio 1 4

Parte II
HD74LS01P Circuito de control de flujo de agua para llenado
de un tanque

Se desea diseñar un circuito combinacional que


controle el flujo de agua en un tanque, de acuerdo a
consideraciones que se encuentran consignadas en
la guía que se encuentra como anexo.

Para cumplir con cada una de las exigencias, y saber


cuál es el circuito combinacional (con menor
Figura 5 número de compuertas) a utilizar se realiza la tabla
de verdad (Tabla 4) que se muestra a continuación:
Continuando, el encapsulado de compuertas
NAND, arroja a la salida una corriente máxima de TEMPERATUR SALIDAS (ACTIVOS
8 mA, razón por la cual se vio necesario la NIVEL A EN BAJO)
utilización de un búfer, ya que los relevos N3 N2 N1 T2 T1 V3 V2 V1
0 0 0 0 0 1 0 0
implementados con las condiciones de resistencia
0 0 0 0 1 1 0 0
de nuestro diseño necesitan al menos 40 mA para 0 0 0 1 0 1 0 0
activarse, dicho búfer de referencia SN74LS06N 0 0 0 1 1 1 0 0
con salida de alto voltaje de colector abierto, el 0 0 1 0 0 1 0 1
cual ofrece una corriente máxima de 50 mA, por 0 0 1 0 1 1 1 1
lo cual es útil en nuestro diseño. La corriente de 0 0 1 1 0 1 1 1
alimentación para los relevos, se halló de manera 0 0 1 1 1 1 1 0
0 1 0 0 0 1 0 1
empírica ante la falta de datos del respectivo
0 1 0 0 1 1 1 1
datasheet. 0 1 0 1 0 1 1 1
0 1 0 1 1 1 1 0
La disposición del seguidor (búfer) se puede 0 1 1 0 0 1 0 1
observar en la siguiente figura: 0 1 1 0 1 1 1 1
0 1 1 1 0 1 1 1
0 1 1 1 1 1 1 0
1 0 0 0 0 1 0 1
1 0 0 0 1 1 1 1
1 0 0 1 0 1 1 1
1 0 0 1 1 1 1 0
1 0 1 0 0 1 0 1
1 0 1 0 1 1 1 1
1 0 1 1 0 1 1 1
1 0 1 1 1 1 1 0
1 1 0 0 0 1 0 1
1 1 0 0 1 1 1 1
Figura 6
1 1 0 1 0 1 1 1
Finalmente, cabe resaltar que se recurrió a 2 1 1 0 1 1 1 1 0
1 1 1 0 0 0 1 1
resistencias en cada una de las salidas del circuito
1 1 1 0 1 0 1 1
combinacional por cuestiones de seguridad en lo 1 1 1 1 0 0 1 1
que se refiere a la disipación de potencia, cada una 1 1 1 1 1 0 1 1
de 1 KΩ.
Tabla 4
Lógica Digital – Laboratorio 1 5

La Tabla 4, permite construir los mapas de  Para N3 = 1


Karnaugh para cada una de las salidas y asi llegar
a la representación matemática del circuito lógico N2N1\T2T1 00 01 11 10
a efectuar. 00 1 1 1
01 1 1 1
Como la tabla de verdad lleva 5 entradas, el mapa
11 1 1 1 1
de Karnaugh se lleva a cabo de esta forma:
10 1 1 1
Salida V3

 Para N3 = 0 Logrando:

𝐹𝑣2 = 𝑁1 𝑇1 + 𝑁1 𝑇2 + 𝑁2 𝑇1 + 𝑁2 𝑇2 + 𝑁3 𝑁2 𝑁1 + 𝑁3 𝑇1 + 𝑁3 𝑇2
N2N1\T2T1 00 01 11 10
00 1 1 1 1
01 1 1 1 1
11 1 1 1 1
10 1 1 1 1 Salida V3

 Para N3 = 1  Para N3 = 0

N2N1\T2T1 00 01 11 10 N2N1\T2T1 00 01 11 10
00 1 1 1 1 00
01 1 1 1 1 01 1 1 1
11 11 1 1 1
10 1 1 1 1 10 1 1 1

Con lo que obtenemos:


 Para N3 = 1
𝐹𝑣3 = 𝑁3 + 𝑁2 + 𝑁1
N2N1\T2T1 00 01 11 10
00 1 1 1
01 1 1 1
11 1 1 1 1
Salida V2
10 1 1 1
 Para N3 = 0
Y se obtiene:
N2N1\T2T1 00 01 11 10
𝐹𝑣1 = 𝑁1 𝑇2 + 𝑁1 𝑇1 + 𝑁2 𝑇2 + 𝑁2 𝑇1 + 𝑁3 𝑁2 𝑁1 + 𝑁3 𝑇1 + 𝑁3 𝑇2
00
01 1 1 1
11 1 1 1
10 1 1 1
Lógica Digital – Laboratorio 1 6

A continuación, se encuentra el circuito resultante: encendido), la salida X es 0, es decir, se debe


encender la lámpara principal; todo esto, puede
evidenciarse si se analiza caso por caso la gráfica
obtenida luego de la simulación. Lo mismo se
cumple para la salida Y, lo que valida el montaje y
el proceso de diseño del circuito lógico
combinacional.

Es importante resaltar nuevamente la escogencia de


compuertas Smith Trigger en la entrada, ya que con
éste, se evita que la señal se tome a un nivel lógico
inapropiado, lo que sin duda puede ocasionar
problemas en el funcionamiento del circuito.
Por otro lado, para el circuito de control de flujo de
agua para llenar un tanque, si se analiza el diagrama
de temporización, es fácil notar que la salida
correspondiente a cada combinación de entradas se
ajusta a la diseñada y esperada, lo que es signo
inequívoco del correcto diseño del circuito
encargado de controlar la lógica de la aplicación.

Para el cual su diagrama de temporización es:


Conclusiones

De la anterior experiencia, se puede concluir en


primera instancia, que los circuitos lógicos
combinacionales son esenciales para la resolución
de problemas diarios relativamente sencillos, solo
basta con establecer las variables de entrada
correctamente y de acuerdo a la finalidad del
circuito, crear las salidas deseadas.

Por otro lado, la inclusión en el circuito de


compuertas Smith Trigger a la entrada permite
mejorar la variación de las entradas debido a
componentes indeseables que podemos llamar
Análisis de resultados ruido, dichas compuertas evitaban que la señal
estuviese en un rango de valores de incertidumbre
Teniendo en cuenta el diseño realizado y la lógica.
simulación efectuada usando la herramienta
Quartus, se puede decir que existe concordancia Finalmente, la estabilidad, exactitud y precisión de
entre los resultados obtenidos en esta última y la las variables físicas de los circuitos, pueden verse
tabla de verdad base para llevar a cabo la alteradas por condiciones externas a ellos como la
construcción del circuito. temperatura, la humedad, entre otras, que pueden
provocar cambios con respecto a las presentadas en
Lo anterior puede afirmarse si se compara para cada la hoja del fabricante. La tensión de alimentación, el
valor en la entrada, su respectiva salida. Por ruido son agentes internos de los circuitos que
ejemplo, como las salidas son activas en bajo, para también pueden provocar dichas fluctuaciones
ambas entradas A y S de cero (Noche, interruptor en (mínimas) en los valores esperados.
Lógica Digital – Laboratorio 1 7

REFERENCIAS
[1] Thomas L. Floyd, Fundamentos de sistemas digitales,
Novena edicion ed., Miiguel Martin Romo, Ed.: Prentice
Hall, 2006.
[2] John F. Wakerly, Diseno Digital, Principios y Practicas,
Cuarta edicion ed.

Vous aimerez peut-être aussi