Vous êtes sur la page 1sur 1

module GREYTOBINARY_tb;

// Inputs
reg [3:0] G;

// Outputs
wire [3:0] B;

// Instantiate the Unit Under Test (UUT)


GREYTOBINARY uut (
.G(G),
.B(B)
);

initial begin
// Initialize Inputs
G = 0000;
#100;
G = 0001;
#100;
G = 0010;
#100;
G = 0011;
#100;

// Add stimulus here

end

endmodule

Vous aimerez peut-être aussi