Vous êtes sur la page 1sur 5

ELECTRONICA DIGITAL

PROFESOR: DAVID CAMARENA MARTINEZ

INTEGRANTES:

EMILY JASMIN PARAMO


ALEJANDRO RIVERA MORON
JUAN CARLOS ZUMAYA

PRACTICA 1

CONTADOR
1. INTRODUCCIÓN
DEFINICIÓN: Un contador es un circuito digital capaz de contar sucesos electrónicos,
tales como impulsos, avanzando a través de una secuencia de estados binarios. Contador
síncrono es un tipo de contador en el que todas las etapas utilizan el mismo impulso de
reloj.

DIAGRAMA DE ESTADO: Este muestra la secuencia de estados por los que pasa bien un
caso de uso, un objeto a lo largo de su vida, o bien todo el sistema. Es una forma de
representación gráfica más intuitiva de los autómatas finitos basadas en dígrafos con arcos
acotados llamados transiciones en los cuales se ponen los símbolos de tránsito entre un
vértice (estado) y otro y se identifican los estados de partida y los de aceptación del resto.
Los diagramas de estados finitos son también representaciones más cómodas para su
elaboración, legibilidad y comprensión de distintos tipos de abstracciones computacionales
de reconocimiento como los autómatas de pila y las máquinas de Turing.

En el diagrama de estados se indica qué eventos hacen que se pase de un estado a otro y
cuáles son las respuestas y acciones que genera. También ilustra qué eventos pueden
cambiar el estado de los objetos de la clase. En cuanto a la representación, un diagrama de
estados es un grafo cuyos nodos son estados y cuyos arcos dirigidos son transiciones
etiquetadas con los nombres de los eventos. Normalmente contienen: estados y
transiciones. Como los estados y las transiciones incluyen, a su vez, eventos, acciones y
actividades. Al igual que otros diagramas, en los diagramas de estado pueden aparecer
notas explicativas y restricciones.
2. CÓDIGO

library IEEE; begin

use IEEE.std_logic_1164.all; Combinacional: process(Qp,A)

use IEEE.std_logic_arith.all; begin

use IEEE.std_logic_unsigned.all; if (A='0') then

entity contador is Qn <= Qp + 1;

generic( else

n : integer := 8 Qn <= Qp - 1;

); end if;

port( Q <= Qp;

RST : in std_logic; end process Combinacional;

CLK : in std_logic; Secuencial: process(RST,CLK)

A : in std_logic; begin

Q : out std_logic_vector(n-1 downto 0) if (RST='0') then

); Qp <= (others => '0');

end contador; elsif (CLK'event and CLK='1') then

Qp <= Qn;

architecture Estructural of contador is end if;

signal Qn, Qp : std_logic_vector(n-1 end process Secuencial;


downto 0);
end Estructural;
3. RECURSOS
4. PINES

5. RTL

Vous aimerez peut-être aussi