Vous êtes sur la page 1sur 78

AMPLIFICADOR

A MPLIFICADOR
FINAL
F VÁLVULAS
A V
INAL A ÁLVULAS
Controlador de
luces de discoteca
35 vatios a partir de un diseño sin florituras
de 8 canales

LA REVISTA INTERNACIONAL DE ELECTRONICA Y ORDENADORES Nº 277 3,60 €


Pico PLC

Interfaz
USB–RS232
Temporizador
Semanal/
Mensual
Micrófono
subsónico
Simple chip
para Control
de Tono
MONTAJE DE PROYECTOS
Redacción
VIDELEC, S.L.
Dirección
Eduardo Corral
Simple chip para Control de Tono
Colaboradores
Jose Mª Villoch, Pablo de la Muñoza, Andrés Ferrer, Aunque nuestro sistema de altavoz activo se completó con el
José Muñoz Carmona.
Coordinación Editorial
subwoofer publicado recientemente y la fuente de alimentación
Iberoa Espamer, S.L.
Dirección asociada, hemos añadido un pequeño extra para aficionados: un
Daniel Ripoll
Publicidad
control de tono/volumen/balance compacto, completado con
Dirección: Julio Mollejo
julio.mollejo@larpress.com una fuente de alimentación estabilizada de 15 V. Nuestro sis-
Secretaria: Gema Sustaeta
publicidad@larpress.com tema de altavoz activo alcanza un importante lugar dentro de
Delegación Cataluña
AD Press, S.L. los equipos de este tipo.
Delegado:
Isidro Ángel Iglesias
iai_ad_press@infonegocio.com
Publicidad:
Eva Matute Calvo
Emc_ad_press@infonegocio.com
Comte d’Urgell, 165-167, B-1º-3ª 08036 BARCELONA
Tel.: 93 451 89 07 - Fax: 93 451 83 23
Edita

Larpress, S.A.
Dirección de Producción
Gregorio Goñi
Dirección Financiero-Administrativa
José María Muñoz
C/ Medea Nº 4, 5ª planta (Edificio ECU) 28037 MADRID
Tel.: 91 754 32 88 - Fax: 91 754 18 58
Suscripciones y Pedidos:
Belén Herranz Guío
C/ La Forja, 27, 29. Pol. Ind. Torrejón de Ardoz
28850 Madrid. España.
Tel: 91 677 70 75 - Fax: 91 676 76 65
email: suscrip@larpress.com
20
Servicios
Redacción y traducciones
VIDELEC, S.L.
Imprime
IBERGRAPHI 2000 S.L.L.
Distribución en España: COEDIS, S.A.
Ctra. Nacional II Km. 602,5 08750 Molins de Rei - Barcelona. España.
Amplificador Final a Válvulas
Distribución en el Exterior
Argentina
Este amplificador de potencia a válvulas tiene un diseño en “push-
Importador
Edilogo S.A. pull” que utiliza dos válvulas EL34s (o sus equivalentes para Estados
Av. Belgrano 225, 1º, B C1276ADB Buenos Aires
Chile
Importador
Unidos, 6CA7). El diseño se ha mantenido lo más sencillo posible,
Iberoamericana de Ediciones, S.A.
C/ Leonor de la Corte, 6035. Quinta Normal.
para evitar problemas con los montajes de los prototipos. La poten-
Santiago de Chile
México cia de salida sobrepasa con creces los 35 vatios, con una baja distor-
Importador
Cade, S.A. de C.V.
C/ Lago Ladoga, 216. Colonia Anahuac.
sión y un amplio rango de frecuencias de trabajo. Este amplificador
Delegación Manuel Hidalgo. 11320 Mexico D.F.
Tel.: 2545-2999 - Fax: 5545-6879
proporciona una excelente reproducción de sonido cuando se uti-
Distribución Estados: Citem
Distribución D.F.: Unión de Voceadores liza con un par de altavoces de buena calidad y con una eficiencia
Portugal
Importador
Ediber-Edicao e distrib. de Public. L.D.A.
razonable. Todo ello nos demuestra que incluso un diseño sencillo,
Rua D. Carlos Mascarenhas, 15 - 1000, Lisboa con unas especifi-
Venezuela
Distribuidora Continental caciones bastante
Colombia
Disunidas, S.A. convencionales,
Depósito legal: GU.3-1980
ISSN 0211-397X
puede hacernos
31/Mayo/2.003
temblar cuando
Reservados todos los derechos de edición.
Se prohíbe la reproducción total o parcial del contenido de este
número, ya sea por medio electrónico o mecánico de
oímos una melodía
fotocopia, grabación u otro sistema de reproducción, sin la
autorización expresa del editor. musical.
Las opiniones expresadas a lo largo de los distintos artículos, así
como el contenido de los mismos, son responsabilidad exclu-
siva de los autores. Así mismo, del contenido de los mensajes
publicitarios son responsables únicamente los anunciantes.
Copyright=1996 Segment BV

25
Nº 277 ELEKTOR
JUNIO 2003 CONTENIDO
Proyectos de construcción

Interfaz USB-RS232 20 Simple chip para Control


Gracias a un circuito integrado especial de la casa FTDI de Tono
Chip, los periféricos de ordenadores con una interfaz RS
232 pueden conectarse fácilmente a un puerto USB. Esta
25 Amplificador Final
sencilla solución es ideal
si tenemos un periférico a Válvulas
que no dispone del
correspondiente puerto 32 Pico PLC
USB, si nuestro ordena-
dor portátil no tiene un 38 Controlador de luces de
puerto RS 232 libre, o
ambas cosas. discoteca de 8 canales

48 Micrófono subsónico
64
52 Temporizador
Semanal/Mensual
ARTÍCULOS INFORMATIVOS 60 Indicador de
Temperatura para PC
Robolab
Allá por 1998 el fabricante de juguetes danés LEGO intro- 64 Interfaz USB–RS232
dujo su kit de montaje “MINDSTORMS” (es decir, “TOR-
MENTA DE IDEAS”) que trabajaba con el bloque RCX
programable de dicho fabricante. El kit fue muy bien aco- Artículos informativos
gido, de modo que la idea y el con-
cepto originales se han utilizado 6 Robolab
tanto en empresas de investigación
como en el ámbito educacional. 44 Agrupación de Diodos LEDS

Regulares
6
3 Sumario
44
12 Noticias

Agrupación de Diodos LEDs 19 Ojeada al próximo número


La utilidad de los diodos LEDs de alta intensidad es tal que
seguro que nos proporcionará un nuevo punto de vista en la ilu- 56 EPS
minación de la habitación. En las indicaciones de tráfico y otros
equipos de señalización, estos pequeños dispositivos ahorran una
59 Libros
gran cantidad de energía y reducen el coste de mantenimiento
debido a su larga expectativa de vida, comparada con la de las
lámparas convencionales. 63 Nuevos Libros
INTERÉSGENERAL

RoboLab
Mindstorms después de cinco años
Por C. Bauman

En 1998 la empresa danesa fabricante de juguetes LEGO introdujo en el


mercado los kit MINDSTORMS, que disponen de una pieza de ensamblaje
‘ladrillo’ programable llamado RCX. Se invirtió mucho esfuerzo y dinero
en investigación y desarrollo, lo cual no siempre garantiza el éxito. El kit
fue bien recibido y se acopló perfectamente en el segmento educativo,
donde se ha tomado como modelo.
El ladrillo programable no se ha
detenido aquí, el enorme avance de
Internet ha favorecido el que cualquier
colegio o universidad (fundamental-
mente en los Estados Unidos) tenga
una zona en su página web dedicada
a proyectos RCX de robótica. Algunos
de los ejemplos más significativos son la
Universidad de Stanford [1], la Univer-
sidad de Loughborough [2] y la Univer-
sidad de Edimburgo [3].
El desafío con Mindstorms es pro-
ducir robots cada vez más sofisticados
capaces de realizar funciones más
complejas. Las posibilidades se incre-
mentan añadiendo nuevos sensores al
RCX (ver Elektor nº 269) y usando pro-
gramas de CAD para ayudar en la
construcción mediante programación
inteligente. Echando un vistazo al soft-
ware/firmware alternativo para el RCX
y hojeando algunos libros con títulos
tales como ‘Mindstorms Extreme’,
Cuando hay que elegir juegos de ordenador para gramación simple, incluso para adul- ‘Ultimate Tool’ o ‘Definitive Guide’
niños los padres a menudo ven los aspectos tos’ y en realidad eso era literalmente podemos hacernos una idea del nivel
negativos que estos representan y lo poco edu- cierto, ya que la idea era que muchos de experiencia y soporte de que dis-
cativos que resultan; el siguiente nivel es hablar padres fueran introduciéndose por pri- pone este sistema.
de las reglas del juego (RPG- role playing game- mera vez en las posibilidades que El entorno de programación RCX
ver Elektor de Enero del 2003). Nos vienen a la ofrece la robótica y el software. también ha visto una importante
mente recuerdos nostálgicos de cuando cons- Desde su introducción, el RCX tam- mejora en su firmware con la apari-
truíamos cosas con nuestros padres, usando pie- bién ha encontrado cabida en algunas ción de la edición que permite el uso
zas del tipo Meccano, empleando horas y horas de las Escuelas Primarias y Secunda- de: eventos, control de acceso y varia-
en pensar cómo hacer, por ejemplo, un tren o un rias más avanzadas como herramienta bles locales, etc... Todas estas carac-
avión. En 1998 LEGO introdujo los kits Minds- de enseñanza, tal y como la concibió terísticas de la Versión 2 del Sistema
torms, donde se conjugaban entretenimiento y el Prof. Seymour Papert del M.I.T. (Ins- Operativo RCX no pueden usarse con
educación. El eslogan que LEGO usó fue ‘pro- tituto Tecnológico de Massachusetts). Visual BASIC porque aún no se ha

6 Elektor
INTERÉSGENERAL
liberado una nueva versión de Spirit.OCX. Sin
embargo, C++ puede usar las nuevas caracte-
rísticas a través de SDK2 [4]. El popular NQC
escrito por Dave Baum [5] tiene acceso a la
mayoría de las nuevas características.
En paralelo al trabajo de desarrollo de estos
entusiastas se ha hecho un duro trabajo escri-
biendo un sistema operativo alternativo para el
ladrillo RCX. Dos de los más populares sistemas
operativos abiertos son legOS (renombrado Bric-
kOS después de la presión de LEGO) usando una
sintaxis similar a NQC, y lejOS basado en Java.
Los aficionados al lenguaje Forth pueden estar
interesados en el pbforth de Ralph Hempel.
El RCX también es una buena elección para
el diseño de prototipos en muchas Universi-
dades. En este tipo de entidades, los expertos
se pueden encontrar limitaciones del firmware
estándar que puede restringir su uso, pero la
solución no pasa en todas las aplicaciones por
buscar una tercera versión de software y quizá
se pueda aprovechar más el potencial del RCX
buscando alternativas. El profesor Chris Rogers Figura 1. Un primer plano de Roby.
y sus colaboradores de la Universidad de Mas-
sachusetts [6] junto con la empresa LEGO y ximadamente unos 50 cm. Los pro- tamente los motores. Únicamente hay
National Instruments (NI) han desarrollado el gramas se han escrito para que el una cuestión, después de la inicializa-
entorno de programación ROBOLAB. brazo (junto con la cámara LEGO) ción el programa permanece en un
ROBOLAB es un icono basado en el entorno puedan identificar y recoger piezas bucle que monitoriza constantemente
de programación gráfica LabVIEW. Soporta ‘ladrillos’ LEGO y colocarlas en una el sensor de choque, en cuanto se
todas las fases de diseño del robot, desde el caja (puede ordenar los juguetes), detecta un contacto los motores se
concepto original, planning, programación y además de construir una torre de cua- desactivan.
ensayos de evaluación, documentación y por tro pisos de ladrillos LEGO. La misma función de programa
último publicación del diseño. El entorno se puede volver a escribir de forma
ROBOLAB se concibió originalmente como ¡Adelante! diferente.
una herramienta de enseñanza para escuelas,
pero ha encontrado aceptación para todas las Para demostrar las características prin-
edades desde Primaria hasta la Universidad. cipales de ROBOLAB se ha construido Esos iconos
El software ROBOLAB ha recibido nume- un pequeño robot de tres ruedas lla- ROBOLAB utiliza una serie de ico-
rosos premios a escala mundial incluyendo el mado Roby [8]. Roby tiene dos moto- nos muy descriptivos, expresivos y
Eddy (premio anual otorgado por Apple a los res que mueven las ruedas derecha e llenos de color que son fáciles de
productos hardware/software de alto nivel) y izquierda y los sensores de choque aprender y están bien documenta-
el BETT otorgado por los miembros del Gabi- montados en la parte frontal (inicial- dos. La diferencia entre fuente y des-
nete de Enseñanza Británico. mente ambos sensores estaban conec- tino está bien definida. Los iconos en
ROBOLAB (del cual ha aparecido reciente- tados en paralelo al puerto 1). En este forma de diamante se llaman modifi-
cadores en ROBOLAB. Los modifi-
mente la versión 2.5) es un entorno de progra- simple ejemplo, el robot será progra-
cadores fuente tienen el camino de
mación versátil y avanzada con bastantes mado para ir en línea recta hasta que datos en azul y un marco también
características que permiten la implementa- se detecte un obstáculo en el camino. azul. Los modificadores destino tie-
ción de la mayoría de conceptos sofisticadas nen un marco verde o marrón con el
de programación. Algunas de sus más poten- mismo color en el camino de datos.
tes características son: En LabVIEW los diferentes tipos de
– Programación de un RCX desde otro RCX. datos se representan con diferentes
– Programación y entrada de datos a través colores y tamaños.
de Internet.
– Combinación de una cámara LEGO junto Listado 1. Todos los programas
con el RCX a los niveles más altos. ROBOLAB comienzan con un icono
de ‘begin’ y terminan con uno de ‘end’.
– Evaluación de datos usando LabVIEW Gcode.
El motor arranca y después corre hasta
que el sensor de choque se dispara.
Si tiene alguna duda sobre estas cuestiones
puede consultar [7], donde el autor describe en En ROBOLAB, al igual que en Lab-
detalle el proyecto de construcción de un brazo VIEW, los datos se pasan usando pro-
de robot. El brazo tiene cinco ejes de movi- gramación virtual. En este programa
miento (grados de libertad) alcanzando apro- sólo hay una forma de controlar direc-

8 Elektor
YA A LA VENTA
PubPress

OFERTA ESPECIAL DE SUSCRIPCIÓN


3 números gratis
Paga el precio de 9 ejemplares y consigue 12
al suscribirte a Computer Music por un año
Antes 72 € ahora 54 €
LLAMA AL

91 677 70 75
o envía un e-mail a: suscrip@larpress.com
Nuestra web: www.computermusic-es.com
INTERÉSGENERAL
El tiempo de respuesta del soft- Universidad de Stanford [1]
ware es bastante lento, pero se www.stanford.edu/class/
puede mejorar usando interrupcio- ee380/9899fall/lect03.html
nes. La versión 2.0 del firmware de
LEGO permite el uso de interrupcio- Universidad de
nes software para el procesador RCX Loughborough [2]
Listado 2. Al arrancar el programa árbitro se le da (un H8/3292 a 16 MHz de Hitachi). www.lboro.ac.uk/
una prioridad 0 a la solicitud para que se mueva departments/el/robotics
Modificando el programa podemos
hacia delante si el sensor de choque no se activa;
usar interrupciones para mejorar el
cuando se activa el sensor el motor se para. Universidad de
tiempo de reacción. En el Listado 3
El primer icono de la secuencia de programa se muestra el programa modificado. Edimburgo [3]
no es exclusivamente para inicialización, pero Los iconos de los dos sensores de www.dai.ed.ac.uk/groups/mrg/
también indica una cuestión de fondo que es la choque, seguidos del icono del arbi- research/LEGO_project.html
puesta en marcha de los motores. Funciona trador activando los dos sensores de
SDK2 [4]
como un árbitro para decidir cuál de las siguien- choque (ahora conectados de forma
www.ceeo.tufts.edu/
tes cuestiones permitirá el control de motores. independientemente a los puertos 1 robolabatceeo/Resources/
Los contactos indicadores individuales (en y 3), generan una interrupción repre- documentation/
este caso sólo uno) tienen asignada una priori- sentada por los botones rojo y azul LEGOMindStormsSDK.zip
dad (0 = el más alto, 4 = la de menor prioridad), de debajo de los iconos.
forma que el arbitrador monitoriza constante- Dave Baum [5]
mente las solicitudes y siempre permite que las El quinto icono habilita la www.enteract.com/~dbaum/
de mayor prioridad tengan el control sobre los interrupción y está precedido por una nqc/index.html
motores. En este sencillo ejemplo es difícil ver solicitud para movimiento en línea
los beneficios de esta arquitectura, pero como el recta. Cuando un sensor de choque Universidad de Massachu-
control del robot es más sofisticado cuanto más se activa, el programa salta del bucle setts [6]
complejas son las funciones a realizar entonces a la parte final donde ejecuta el www.ceeo.tufts.edu/
las ventajas son más obvias. comando de parada (stop), espera robolabatceeo/default.asp
Este tipo particular de robot de arquitectura 2/100 s y acaba todas las solicitudes
programable se desarrolló a finales de los 80 por incluyendo el arbitrador. En el ejem- Proyecto de brazo
de Robot [7]
Todney Brooks en M.I.T. y se conoce como Arqui- plo anterior el programa no termina.
www.convict.lu/Jeunes/
tectura Subliminal [9]. Los sistemas multitarea tra- Este ejemplo toca algunos de los
5%20DOF%20Robot-arm.htm
dicionales difícilmente realizan varias tareas para aspectos más básicos del ROBOLAB,
el control debido al uso de comandos conflictivos. para conseguir una mejor impresión Detalles de construcción
Por el contrario, el arbitrador en Arquitectura del software, podemos descargar una de Roby [8]
Subliminal asegura que sólo la solicitud de mayor función demo (limitada) de [10]. http://www.convict.lu/Jeu-
prioridad tenga el control. (020319-1) nes/LOGI2.htm

Arquitectura Subliminal [9]


www.convict.lu/Jeunes/
Subsumption.htm

Demo de ROBOLAB [10]


www.technik-lpe.de/
lego_dacta/li_down/
Listado 3. El mismo programa, pero esta vez usando una interrupción para la velocidad ROBOLAB_DEMO_20.zip
máxima del tiempo de reacción.
NOTICIAS
SOLUCIÓN D E D O S C H I P PA R A A P L I C A C I O N E S D E V Í D E O

Zetex, empresa representada en


España por Anatronic, S.A., intro-
duce el separador sincrónico
ZXFV4583 y el amplificador de res-
tauración DC ZXFV4089, que ofre-
cen una solución de dos chip para la
gestión de señales de vídeo com-
puestas. Usado conjuntamente en
aplicaciones que incluyen CCTV,
captura de vídeo, mezclas y efectos
especiales, el chipset es compatible
en pin con alternativas estándares
de la industria.
Actuando como una abrazadera ‘black
level’, el ZXFV4089 ofrece estabilidad
a nivel de brillo en sistemas de vídeo
caracterizados por amplio ancho de
banda, baja distorsión y bajo consumo
de potencia. La señal de vídeo com-
puesta está sujeta a una tendencia
DC, debido al contenido variable de la
información de intensidad. Esto nece-
sita ser corregido con anterioridad a la
conversión digital.
Conteniendo un circuito sample&hold Solución de dos chip para aplicaciones de vídeo Zetex.
y un amplificador de vídeo de baja
distorsión, el ZXFV4089 asegura la Configurado con tres resistencias Un filtro de bajo paso opcional tam-
restauración DC de la señal de vídeo. externas, el ZXFV4583 separa señales bién puede ser empleado para ate-
Desde el primer impulso, el disposi- de sincronización verticales, horizonta- nuar los efectos del carrier de color, al
tivo estabiliza el nivel negro de refe- les y compuestas de PAL; NTSC, mismo tiempo que los formatos de
rencia para el siguiente componente SECAM y otras señales de vídeo com- vídeo entrelazado se acomodan
de la señal, que contiene la informa- puestas estándares y específicas. La mediante una salida lógica odd /
ción de la intensidad. La salida es una precisión en la extracción sincrónica se even. La pérdida de detección de
señal de vídeo permanentemente logra a través de un proceso de mues- señal, en un nivel establecido por una
sujeta a un voltaje de referencia (nor- tra que determina el punto del 50% en la de las resistencias externas, inicia
malmente 0 V). parte negativa del pulso sincrónico. una salida lógica.

DRIVER LCD D E M AT R I Z D E P U N T O S PA R A A P L I C A C I O N E S D E A U T O M O C I Ó N

EPSON, empresa representada en


España por Anatronic, S.A., introduce
el S1D15714, un driver MLS monochip
para display de cristal líquido (LCD) de
matriz de puntos en aplicaciones de
automoción, tales como sistemas de
navegación de bajo coste, radios y sis-
temas del salpicadero.
Usando la tecnología MLS (Multi Line
Selection) se consigue un ciclo máximo
de 1/65 y se incorpora una RAM de
datos de display de 168 x 65 bit.
El S1D15714 se caracteriza un circuito
oscilador interno que opera con gran
flexibilidad para el reloj de display –
los relojes de operación externa adi-
cionales no necesitan realizar la ope-
ración de lectura / escritura de datos
del display, asegurándose una opera-
ción con el mínimo consumo de
corriente. Driver LCD de matriz de puntos para aplicaciones de automoción EPSON.

12 Elektor
NOTICIAS
El driver posee un sensor de tempera- Un comando para descargar con- El S1D15714 completa la exitosa
tura sin la necesidad del uso de com- densadores externos garantiza un serie EPSON S1D15705, ofreciendo
ponentes suplementarios. Esto permite apagado óptico del display. Además, unas dimensiones más reducidas y
constituir un sistema que ofrece el con- este IC driver dispone de la capaci- generando, por lo tanto, menores
traste LCD óptimo en un amplio rango dad de una operación master – costes.
de temperatura operativa (-40 a +65 °C). esclavo.

AT M E LL A N Z A U N A N U E VA G A M A D E S O L U C I O N E S
DE CONECTIVIDAD A INTERNET EMBEBIDAS
Los productos @Web logran una
fácil conectividad a Internet
usando sus MCU Flash C51
Atmel Corporation, empresa repre-
sentada en España por Anatronic,
S.A., anuncia la disponibilidad de
microcontroladores con capacidades
integradas que permiten aplicaciones
de usuario con conectividad directa a
Internet. La familia @Web está com-
puesta por MCU Flash C51 de 8 bit.
Los productos @Web ofrecen una gama
ampliada de opciones para conectar
fácilmente numerosas aplicaciones
embebidas de Internet, tales como dis-
positivos industriales y equipos de
automatización domésticos, para una
amplia variedad de redes físicas (PSTN, Atmel’s @Web Products archieve Easy Internet Connectivity using its C51 Flash MCUs.
GPRS, LAN y enlaces de serie).
Una solución @Web Software para mite a los diseñadores de sistema eva- dad a interfaces 10 o 100 Base-T
TCP/IP PSTN y GPRS Módem se basa luar toda la gama de opciones disponi- Ethernet y soporte completo de apli-
en un snack de protocolo que puede bles para integrar software TCP/IP en cación con código fuente.
ser implementado en el programa de los MCU Flash C51 Atmel. Para soportar el desarrollo del producto,
aplicación del microcontrolador Flash. Las soluciones @Web Estructuradas el kit de desarrollo ATWebDVK-02 tam-
Esta solución acelera el desarrollo del TCP/IP Ethernet se componen de un bién se encuentra disponible, así como
producto, evita la necesidad de com- chipset basado en un IC estructurado módulos de aplicación, incluyendo
ponentes externos costosos y facilita la Wiznet TCP/IP y un MCU Flash Atmel. Cámara Web de red (ATWebDVK-
implementación de servicios de Inter- Estas soluciones LAN de elevada velo- 02WC), Transmisión de Voz (ATWebDVK
net, tales como HTTP, FTP o SMTP. El cidad ofrecen fácil implementación de -02VOIP) y un control remoto on-off de
kit de evaluación ATWebEVK-01 per- cualquier servicio Internet, conectivi- potencia (ATWebDVK-02RC).

NUEVOS M Ó D U L O S D I M M P C 3 2 0 0 PA R A PC DESKTOP
Y E S TA C I O N E S D E T R A B A J O
Infineon Technologies, empresa
representada en España por Anatro-
nic, S.A., anuncia la disponibilidad
de una nueva familia de DIMM
(módulos de memoria dual-in-line)
unbuffered en densidades de 128, 256
y 512 MB.
Basados en memoria de 400 Mbps
Double-Data-Rate (DDR400), los módu-
los han sido validados con las especi-
ficaciones Intel y diseñados para cum-
plir los requerimientos de la especifi-
cación de ancho de banda JEDEC
PC3200 3.2 Gbps para uso en la memo-
ria principal de estaciones de trabajo y
PC desktops de elevado rendimiento.
El proceso de fabricación de Infineon,
con el mayor número de die por oblea Nuevos módulos DIMM PC3200 para PC desktop y estaciones de trabajo Infineon Technologies.

Elektor 13
NOTICIAS
de silicio, permite producir en gran micron, que trabaja en el cluster de viertan en la elección de memoria para
volumen módulos de forma casi ins- fabricación DRAM 200 y 300 mm de desktops y estaciones de trabajo de ele-
tantánea a las necesidades del mer- producción en volumen. vado rendimiento, por lo que Infineon ya es
cado. Los nuevos módulos DIMM han Para finales del presenta año se espera capaz de ofrecer soporte en la transición
sido realizados con un proceso 0.14 que los componentes DDR400 se con- a estos sistemas de próxima generación.

MEMORIA FLASH PA R A E L D E S A R R O L L O D E A P L I C A C I O N E S C O N M I C R O C O N T R O L A D O R

EPSON, empresa representada en


España por Anatronic, S.A., introduce
el nuevo microcontrolador S1C6F416
con una CPU S1C63000 de 4 bit y ele-
vado rendimiento como núcleo CPU.
Este microordenador incluye ROM de
código, RAM, ROM de datos, interface
de serie síncrono / asíncrono), tempo-
rizador watchdog, temporizadores
programables (2 x 8 bit o 1 x 16 bit),
dos contadores de tiempo y drive LCD
de matriz de puntos.
El nuevo microcontrolador se caracte-
riza por un sistema de reloj doble que
soporta operación a elevada velocidad
(4 MHz) con un voltaje operativo bajo
(1.3 a 3.6 V) y mínimo consumo de
corriente 32 kHz, Halt, Sleep), por lo que
es ideal para aplicaciones que trabajan
con baterías, donde el consumo de
energía necesita ser escalado depen-
Memoria Flash para el desarrollo de aplicaciones con microcontrolador EPSON.
diendo de las entradas de usuario.
Además, el circuito de reseteo inte- El S1C6F416 dispone de una EEPROM Para más información:
Madrid
grado (con función de reseteo power- Flash de gran capacidad (16 K pala-
Tel: 91 366 01 59
on) puede detectar la tensión de ali- bras x 13 bit y 4 K palabras x 4 bit) Fax: 91 365 50 95
mentación para reiniciar el microcon- como ROM. Por lo tanto, también E-Mail: info@anactronic.com
trolador cuando la potencia vuelva o puede ser usado como un MTP (Multi www.anactronic.com
durante una interrupción instantánea Time Programmable) para el desarro-
de corriente. llo sus pares (S1C63406 y S1C633408).

APLICACIÓN D E T E S T D E A U T O M O C I Ó N C O N TA R J E TA S D E R A D I O LC
ADCON TELEMETRY AG, empresa
representada por Aspid Comunica-
ciones, S.A., anuncia una nueva apli-
cación de test de automoción con tar-
jetas de radio LC.
Las tarjetas de radio LC son usadas
para comunicar datos entre el sensor
de alineación de la rueda y un PC. El
sistema envía datos en tiempo real a
un ordenador sin la necesidad de usar
cables. Por lo tanto, los técnicos pue-
den ver inmediatamente si existen
problemas causados por la incorrecta
alineación de las ruedas.
Esta nueva solución es más fácil y
rápida de instalar que la solución de
cable tradicional. Además, los clientes
deben ser conscientes del peligro que
conllevan los cables tirados por el
suelo y que se pueden deteriorar con
mayor facilidad. La nueva solución
inalámbrica solventa estos problemas. Aplicación de test de automoción con tarjetas de radio LC ADCON RF.

14 Elektor
NOTICIAS
NUEVO MÓDULO OEM GPS EMBEBIDO FA L C O M J P 7
FALCOM, empresa represen- El nuevo dispositivo es gestio-
tada en España por Aspid nado como un componente
Comunicaciones, S.A., anun- SMD y puede ser soldado a la
cia la disponibilidad del nuevo placa madre de la aplicación
módulo OEM GPS embebido embebida, usando tecnología
FALCOM JP7. SMT. Esta circunstancia hace
El FALCOM JP7 es un receptor que el JP7 sea perfecto para
GPS de 12 canales paralelos proyectos de elevado volumen.
basado en el chipset de baja El FALCOM JP7 puede ser
potencia SiRFstarII. El nuevo empleado como el reemplazo
dispositivo desarrolla mejoras en drop-in de hardware o software
rendimiento GPS, precisión, directo para el módulo TIM de
potencia informática e integra- µ-blox. En comparación con el
ción de hardware. dispositivo TIM, el JP7 ofrece
Su tamaño compacto (25 x 25 x parámetros de rendimiento sus-
3 mm) junto con el modo ‘tric- tancialmente mejores.
kle power’ (55 mW, 800 ms 0FF, El FALCOM JP7 también es
200 ms ON), y el ratio de adqui- compatible en hardware con el
sición TTFF superior (ca. 45 s) nuevo dispositivo TIM-LP. Los
permiten la creación de una diseñadores de hardware y soft-
gran variedad de soluciones de ware son soportados mediante
navegación, seguridad y moni- un kit de evaluación completo
torización OEM muy compac- que contiene toda la informa-
tas, de baja potencia y elevada ción relevante del diseño de
eficacia. Nuevo módulo OEM GPS embebido FALCOM JP7 Falcom. referencia.

CONVERTIDORES DC/DC EN ENCAPSULADO SIP ESTÁNDAR

SynQor, empresa representada en


España por Aspid Comunicaciones,
S.A., ha lanzado una nueva línea de
convertidores DC/DC SIP no aislados.
La serie NiQor puede suministrar
hasta 15 A de corriente de salida en
un encapsulado single-in-line están-
dar. La nueva línea de convertidores
representa la expansión de la gama de
productos SynQor para aplicaciones
de potencia distribuida.
Los primeros módulos introducidos
en la línea NiQor tienen un rango de
entrada de 3.0 a 3.6 V y ofrecen hasta
15 A de corriente a 2.5, 1.8, 1.5, 1.2 y Convertidores DC/DC en encapsulado SIP estándar SynQor.
NOTICIAS
0.9 V. Empleando el encapsulado SIP tiendo total potencia sin restricciones Qor, los diseñadores pueden imple-
estándar, las unidades miden 50.8 x con condiciones ambientales eleva- mentar una verdadera arquitectura IBA.
14 x 8.5 mm y pueden ser configura- das. El filtrado de entrada y salida Los convertidores NiQor incluyen un
dos en orientaciones verticales u mantiene el ruido al mínimo, elimi- buen número de funciones de control y
horizontales. nando la necesidad de añadir costosos protección, tales como control on / off,
La familia NiQor se ampliará para condensadores I/O. UVLO de entrada, límite de corriente,
incluir una serie con entrada de 5 V La serie NiQor no aislada ofrece punto protección ante cortocircuito, OVP de
(4.5 – 5.5 V) y otra serie con entrada de de carga para una amplia variedad de salida y cierre térmico. Estas caracte-
12 V (9.6 – 13.2 V) y hasta 10 – 15 A de niveles de lógica de núcleo de bajo vol- rísticas dotan de funcionalidad avan-
corriente a 3.3, 2.5, 1.8, 1.5, 1.2 y 0.9 V. taje, requeridos en los DSP y ASIC zada, al mismo tiempo que las certifi-
Los módulos emplean rectificación actuales. Combinada con la línea de caciones de seguridad permiten una
síncrona para obtener una eficacia del productos de convertidores aislados fácil integración en el producto del
92% con carga total (3.3 Vout), permi- PowerQor y transformadores DC Bus- usuario final.

ORDENADOR M O N O TA R J E TA C O N P R O C E S A D O R INTEL PENTIUM 4


AAEON Technology, Inc., empresa
representada en España por la Divi-
sión de Componentes de Aspid
Comunicaciones, S.A., anuncia el
nuevo SBC-860, un ordenador mono-
tarjeta basado en un procesador Intel
Pentium 4 con CompactFlash Type II
y cuatro puertos USB 2.0.
Trabajando a 3.06 GHz, 3el SBC
soporta tecnología Hyper-Threading,
ofreciendo el mejor rendimiento de
Intel Pentium 4 disponible. El SBC-860
también dota de elevadas capacida-
des de memoria de hasta 2 GB DDR
DRAM (DDR 226).
El nuevo ordenador monotarjeta se
caracteriza por el chipset Intel 845GV,
que soporta elevadas frecuencias CPU
de hasta 3.06 GHz con el bus frontal Ordenador monotarjeta con procesador
Intel Pentium 4 AAEON.
trabajando a 400 / 533 MHz.
Además de su potente motor informá-
tico, el diseño de la tarjeta incluye
características como un chipset con and Play’ ampliable que garantiza una velocidad, tales como pasarelas y
un motor gráfico 2D / 3D que soporta conexión estándar de bajo coste para redes privadas virtuales (VPN).
ancho de banda AGP 4x, USB 2.0 inte- los dispositivos periféricos. Esta tarjeta compacta con procesador
grado y socket CompactFlash Type II. Las aplicaciones industriales se bene- Intel Pentium 4 dota de un excelente
El SBC-860 desarrolla un magnífico ficiarán de los cuatro puertos USB 2.0 ratio calidad – precio para CTI, redes
rendimiento gráfico sin coste adicio- por dos pin-headers, que ofrecen una y mercados ‘mini-server’.
nal con el chispset Intel 845GV inte- elevada velocidad de 480 Mbps sin
grado, que destaca por la arquitectura comprometer el rendimiento. Para más información:
Aspid Comunicaciones, S.A.U.
Intel Extreme Graphics para maximi- Además, el SBC-860 soporta controla- General Aranáz, 49
zar la capacidad de rendimiento VGA. dores Intel Ethernet duales, posibili- 28027 Madrid
Con cuatro puertos USB 2.0, el SBC- tando la accesibilidad de red de 1 Tel: 91 371 77 56 - Fax: 91 320 10 18
860 ofrece un interface de serie ‘Plug Gbps para aplicaciones de elevada E-mail: aspid@aspidcom.com

RADIAL P R E S E N TA S U S N U E V O S C O N E C T O R E S QN Y QMA
Radiall, empresa representada en GHz con un nivel de intermodulación necesitan 2 segundos para realizar la
España por Ibérica de Componen- de -120 dBc. Ambas series ofrecen el conexión de los modelos QMA, en
tes, S.A., anuncia sus series QN y mismo rendimiento eléctrico que la comparación con otros dispositivos
QMA de conectores. serie N. que requieren 20 segundos.
Optimizada desde DC a 6 GHz, la Los conectores, que son diez veces Los conectores garantizan la conexión
serie QN es útil desde DC hasta 11 más rápidos en el acoplamiento que mediante un ‘click’ audible y optimi-
GHz con un nivel de intermodulación los conectores N estándares y ofrecen zan la densidad del panel, ya que no
de -156 dBc, mientras que los mode- una solución económica, versátil y se necesita una llave de torsión para
los QMA son útiles desde DC a 12.4 reproducible. Por ejemplo, sólo se montar los dispositivos QN.

16 Elektor
NOTICIAS
T A B L E T P C M O B I PA N E L
Advantech, empresa representada en
España por Ibérica de Componentes,
S.A., anuncia el Web Tablet rugerizado
Mobipanel MPC-100E, un dispositivo
inalámbrico portátil basado en Windows
CE.NET que combina captura de datos
y tecnología de comunicación.
El Mobipanel MPC-100E ha sido dise-
ñado para ofrecer una solución
inalámbrica en tiempo real a profesio-
nales móviles para acceder a informa-
ción de bases de datos remotas vía
Internet o Intranet. Por estos motivos,
el Mobipanel es ideal para aplicacio-
nes en proyectos industriales, medi-
cina, hostelería, construcción, logís-
tica o servicios in situ.
El nuevo Web Tablet, que se caracte-
riza por un procesador Intel Xcale
PXA-250 400 MHz, posee RAM de 128
Mb / ROM Flash de 32 Mb (módulo
removible), Windows CE.NET, adap- Tablet PC Mobipanel Advantech.
tador PMCCIA Tipo II, zócalo Compact
Para más información:
Flash Tipo II, protección frontal IP53 y Los puertos I/O, el panel LCD y las cir- Tel: 91 659 29 70
resistencia total a caídas desde un cuiterías internas del MPC-100E han Fax: 91 653 10 19
metro, todo ello con un peso de 1.35 sido diseñados para resistir los daños www.ibercom.net
kg. Entre los múltiples accesorios des- ocasionados al derramar líquidos o e-mail: informa@ibercom.net
tacan un cargador para el vehículo, agua, cumpliendo con el estándar
cable mini VGA y tarjetas WLAN. IP53.

TUBOS AISLANTES DE FIBRA DE VIDRIO

Cintisa, empresa líder en la fabri-


cación y comercialización de ele-
mentos y componentes para la
industria eléctrica y electrónica, pre-
senta sus tubos aislantes de fibra de
vidrio Silglass, Polglass y Temglass,
los cuales tienen una gran variedad
de aplicaciones en la industria, ofre-
ciendo una solución integrada a los
problemas de interconexión en altas
temperaturas. Tubos aislantes de fibra de vidrio Cintisa.
NOTICIAS
La gama Silglass, compuesta por Los tubos Polglass son aislantes de eficaz protección de cables y termina-
tubos aislantes de trenza de fibra de trenza de fibra de vidrio impregnada les en aparatos con altas temperaturas
vidrio impregnada con silicona, se con poliuretano, caracterizándose por de trabajo. Los tubos Temglass poseen
caracteriza por una temperatura de una temperatura de servicio de –30 a una temperatura operativa de –60 a
servicio de –60 a + 220 °C (Clase H), +155 °C, rigidez dieléctrica de 3000 V +220 °C, rigidez dieléctrica de 800 V y
una rigidez dieléctrica de 3000 V y un y diámetro interior de 0,5 a 22 mm. diámetro interior de 0,5 a 12 mm,
diámetro interior de 0,5 a 34 mm, Estos tubos, cuyo color estándar es el siendo el marrón su color estándar.
siendo su color estándar el rojo teja. Se amarillo, se aplican como protección
utilizan como aislante térmico y eléc- de conexiones y terminales eléctricos. Para más información:
trico en aparatos con elevadas tempe- La gama Temglass está formada por Cintas Técnicas Industriales, S.A.
raturas de trabajo y sobrecargas tér- tubos aislantes de trenzas de vidrio E-mail: cintisa@optral.es
micas. recocido y estabilizado, y ofrece una http://www.cintisa.es

N U E VA TA R J E TA JREX 3.5” CON 1.0 GHZ VIA C3


Siguiendo al reciente lanzamiento del
procesador VIA C3TM de VIA Technolo-
gies, Inc., Kontron acaba de anunciar su
primer diseño de tarjeta CPU basado en
este procesador de elevado rendimiento.
La tarjeta JRex-VE 3.5” es el primer
módulo Kontron que se basa en el 1.0
GHz VIA C3. El nuevo procesador per-
mite a los dispositivos JREX-VE ofre-
cer un rendimiento comparable al de
una tarjeta basada en procesador Intel
Pentium II 500 / 700 MHz, pero con un
precio significativamente menor.
Además de que el rendimiento de la
tarjeta es más que adecuado para las
aplicaciones de medios digitales más
demandadas, el JRex 3.5” tiene un
consumo de potencia muy económico
y una baja emisión de calor.
Al igual que el resto de las tarjetas 3.5”
de la familia JRex, el dispositivo ETX-
VE incluye 2 USB, LAN, Compact- Nueva tarjeta JRex 3.5” con 1.0 GHz VIA C3 Kontron Elektronik.
Flash, Teclado / Ratón, VGA, COM1,
conectores de fuente de alimentación front-side de 133 MHz e IDE rápido vía conectado y establece los parámetros
ATX y reseteo convenientemente ubi- UDMA-100. El socket SDRAM-DIMM de vídeo correspondientes.
cado en la misma posición que el resto puede acomodar hasta 512 MB de Todos los módulos JRex son “plug
de la familia de productos. Como resul- memoria y permite el uso de módulos and work”, lo que reduce significa-
tado de esta uniformidad, los integra- estándares de memoria desktop. El tivamente el tiempo de llegada al
dores de sistema y OEM pueden des- controlador gráfico UMA (hasta 32 MB) mercado.
arrollar productos escalables basados en es un componente integral del chipset.
tarjetas 3.5” sin la necesidad de modifi- Además, varios tipos de display pue- Para más información:
KONTRON Embedded Computers, AG.
car encapsulados o conectores para den ser conectados fácilmente vía el Gobelas, 21
variantes de diferentes procesadores. interface JUMPtec Intelligent LVDS 28023 Madrid
El JRex-VE incluye el chipset VIA Interface (JILI), que detecta automáti- Tel: 91 710 20 20
Twister T con motor S3 Savage 4, bus camente qué display se encuentra Fax: 91 710 21 52

RENESAS TECHNOLOGY LANZA UN MANUAL


DE DISEÑO ‘MANOS LIBRES’ BLUETOOTH

Debido a las restricciones legales en futuro a favor de una conexión sillo y ser conectado a una pasarela
el uso de teléfonos celulares en los inalámbrica. de audio (por ejemplo, la radio del
coches, la operación ‘manos libres’ El servicio ‘manos libres’ sobre Blue- coche) de forma inalámbrica. Rene-
se está convirtiendo en una obliga- tooth es una de las primeras u mejo- sas Technologies Europe Ltd.,
ción en los diseños de automoción. res aplicaciones Bluetooth en el mer- suministrador global de chip Blueto-
Normalmente se necesita un enchufe cado de la automoción. La idea fun- oth y microcontroladores, ha desarro-
para el teléfono móvil o una instala- damental es que el teléfono celular llado el manual de diseño BlueFree,
ción fija, pero esto cambiará en el del conductor pueda estar en el bol- que ayudará a los diseñadores de sis-

18 Elektor
NOTICIAS
temas a reducir el tiempo de llegada
al mercado.
La tarjeta de 30 x 60 mm consiste en
el módulo Mitsubishi Bluetooth M3A-
ZA 12, un microcontrolador de 16 bit
M16C/62 y un codificador para cance-
lación de eco y reducción de ruido. El
software M16C utiliza el stack de soft-
ware embebido muy compacto y fácil
de configurar de IAR Systems. El
Embedded Bluetooth Protocol Stack
de IAR Systems ya ha sido calificado
para el M16C. Un UART API sirve
como conexión entre la radio del
coche y este módulo add-on.
Para el producto final, el host stack
también podría trabajar con el contro-
lador principal de la radio del vehículo
o el diseñador podría elegir otro M16C
con host MCU fuera de la amplia Renesas Technology lanza un manual de diseño “manos libres” Bluetooth Mitsubishi Electric.
gama de opciones de memoria y
encapsulado de la familia M16C. Ade- M64846FP y una antena SMD adicio- Para más información:
Tin Can Comunicación
más, IAR Systems ofrece todos los nal. También se encuentra en des-
Tel: 91 352 49 94
perfiles de coche Bluetooth como arrollo una solución CMOS Bluetooth Fax: 91 351 59 30
encapsulado de software especial. monochip. E-mail: info@tincan.es
El módulo Bluetooth M3A-ZA 12 se El diseño de referencia puede operar con Web: www.tincan.es
basa el chipset de banda base cualquier teléfono móvil estándar que
M64110WG y el IC transceptor RF soporte el perfil ‘manos libres’ Bluetooth.

PRÓXIMONÚMERO
PLACA DE DESARROLLO XA
Los dispositivos pertenecen a la familia de microcontroladores Philips XA de 16 bits, que ofre-
cen una alta densidad de código basado en la arquitectura 8051. Esos microcontroladores se
caracterizan por un bajo consumo de potencia a una alimentación de 3 V, un ingenioso esquema
de gestión de alimentación, un set de instrucción ampliado y 16 Mbytes de espacio de memoria.
Se describe una placa de desarrollo para sacar partido a esos potentes micros.

DMX 3-EN-1
Este proyecto, apodado ‘DMX 3 en 1’, es un divi- TAMBIÉN…
sor activo que distribuye una simple señal DMX Amplificador final a válvulas (II),
en tres salidas. Además se caracteriza por un ais- Mini Proyecto: Lámpara LED de
lamiento meléctrico total entre la entrada y las doble lente, Countdown Timer
salidas, mientras cualquiera de las tres salidas
puede actuar de forma independiente de las otras.

LOW-COST LCD CONTROLLER (1) ¡Y MÁS PARA DISFRUTAR!


Los títulos de los artículos y contenidos de la
Surplus stores often sell cheap matrix LCD modules, some
revista están sujetos a cambios
brand new (NOS), others salvaged from broken or old equip-
ment. Interesting, but nobody will be able to tell you how
these devices can be used in practice. This month’s instal-
ment provides some background information on the operation
NUEVOS COMPRADORES – NOTA INFORMATIVA
of such matrix LCDs, in preparation for a DIY controller to be Si le resulta difícil encontrar la revista en el pequeño kiosco del barrio, puede
discussed next month. suscribirse y recibir en casa la revista puntualmente cada mes. Para ello
mire en el interior las páginas para la suscripción.

Elektor 19
AUDIO&VIDEO

Simple chip para


Control de Tono
Para usar con el sistema de altavoces multimedia
Diseñado por T. Giesberts

Aunque nuestro sistema de altavoz activo se completó con el subwoofer


publicado recientemente y la fuente de alimentación asociada, hemos
añadido un pequeño extra para aficionados: un control de
tono/volumen/balance compacto, completado con una fuente de
alimentación estabilizada de 15 V. Nuestro sistema de altavoz activo
alcanza un importante lugar dentro de los equipos de este tipo.

20 Elektor
AUDIO&VIDEO
Además, nos satisface enormemente el hecho
de que ahora nuestro sistema activo sí está
realmente completo.
Hemos intentado dar el control de tono tan
universal como sea posible. Por lo tanto, el cir-
cuito se puede usar como un complemento
para un sistema HiFi, aunque también puede,
simultáneamente, complementar nuestro sis-
tema de altavoces activo.
Estas características nos llevan al uso de
un circuito discreto. En lo que a calidad se
refiere, un circuito discreto bien diseñado está
al borde de ser una solución integrada, pero
aún así, el tamaño del circuito no aconseja
colocarlo con el resto del sistema. Por esta
razón, para los amplificadores de satélites y
subwofer hemos optado por una solución de
un simple chip.

El LM1036
En el pasado los (pre)amplificadores no se
Figura 1. Pines y diagrama de bloques del LM1036 pensaron para formar parte de sistemas
(cortesía de National Semiconductor). HiFi, aunque hoy en día la calidad de esos
chips deja bastante poco que desear.
Algo como un control de tono no es, embargo, cuando el sistema multi- Debido a que cada vez es mayor la
por supuesto, esencial para usar con media se usa para reproducir música, demanda y el incremento de la calidad de
la mayoría de los altavoces de PC. Sin este equipo puede resultar muy útil. los sistemas mini y de las radios de auto-

Medidas
(Todas las salidas tienen una carga de 10 k)

Rango control graves (volumen –40 dB) +15 dB / –15dB (20 Hz) A +24

+21

Rango control agudos (volumen –40 dB) +16 dB / –13dB (20 kHz) +18

Ancho de banda 16 Hz a 70 kHz +15

+12
Relación señal/ruido 78 dBA (entrada 250 mV) +9

THD+N (B = 22 kHz, salida 250 mV) 0.04 % (a máxima ganancia) +6

Ganancia máxima 0.6 dB (≈ 1.07 x) d


B
+3

r +0
Nivel entrada máximo (salida 250 mV) 1.93 V (alimentación 14,43 A
-3

V, THD+N = 0,3 %) -6

Nivel de salida máximo 0.75 V (THD+N = 0.3 %) -9

-12

Rango de tensión de alimentación 9 a 16 V -15

Corriente de alimentación 46 mA -18

-21

-24
10 20 50 100 200 500 1k 2k 5k 10k 20k 50k 100k 200k
Hz 020054 - 4 - A

Curvas de respuesta
El gráfico A muestra la respuesta de frecuencia con los controles de
graves y agudos en sus posiciones extremas; la curva del medio repre-
senta la respuesta cuando los controles de tonos están en su posición B +10

central. El control de volumen se colocó a –40 dB. Nosotros hemos +0

mostrado de forma deliberada la respuesta entre 10 Hz y 200 KHz, así -10

podemos ver qué sucede fuera del espectro de audio. -20

La segunda gráfica (B) muestra el efecto de la compensación sonora. -30

Hemos medido el nivel de salida entre 20 Hz y 20 KHz y nueve niveles d


B
r -40

de volumen diferentes, con –10 dB (a 1 KHz) entre cada paso. Nos- A


-50
otros usamos una señal de entrada sensiblemente mayor (700 mV) y
un filtro de paso/banda, de forma que el rango del control de volumen -60

se pueda ver más claramente. La curva superior se midió con el con- -70

trol de volumen al máximo. La compensación sonora tiene el efecto -80

más fuerte entre –50 a –60 dB. En la curva inferior (tensión de control -90
20 50 100 200 500 1k 2k 5k 10k 20k
0 V) la salida consta principalmente de ruido y es la razón por la que Hz 020054 - 4 - B

esto no es muy notable.

Elektor 21
AUDIO&VIDEO
16V max torsión armónica total (THD) es
+15V
0,06% y la relación señal/ruido 80 dB.
C21 C12
+15V
Como puede verse, según esto, los
100µ 10n datos de algunas medidas de nuestro
25V
prototipo que se presentan al final de
la sección no son exagerados.
R6 R12
La Figura 1 muestra los pines y
C4 C6 C8 C10 REF C13 C15 C20 diagrama de bloques del LM1036.

100k
1M

(+5V4)
1n 10n 10µ 390n 220n 220n Todos los que estén interesados pue-
63V S1 100p
R4 LOUDNESS R13 den encontrar las características del
1k 47k VOLUME
OFF ON R15
integrado en la página:
R K2
47k BASS www.national.com/pf/LM/LM1036.html

R10 R Su sencillez
220 Ω

18 16 15 11 17 7 12 14 El circuito completo de la Figura 2


K3
ilustra lo sencillo que puede ser un
CT2

ACBP2

CB2

ZV

LCC

VLC

BSC

R2 C2 C19 R11 R
19 13
1k IN2 OUT2 220 Ω control de tono construido alrededor
1µ IC1 2µ2
C1 C17
del LM1036. Aparte del integrado,
R1 LM1036 R7
2
IN1 OUT1
8
220 Ω sólo hay unas cuantas resistencias y
ACBP1

1k
CB1
CT1

TRC

BLC

1µ 2µ2 L condensadores, algunos conectores


ISD

3 5 6 10 20 1 4 9
R8
de entrada y salida y cuatro poten-
220 Ω ciómetros.
L Para hacer el circuito más versátil
R14
hemos añadido una entrada de mini-
47k BALANCE jack (K3) a las entradas de auricular
L K1 R3 R16
normales (K1, K2). Sólo se debería
1k 47k TREBLE
usar uno de estos tipos de entrada,
R5 R9
C3 C5 C7 C9 C11 C16 C14 C18 por otro lado, las señales se mezcla-
100k
1M

1n 10n 10µ 390n 47µ 220n 220n rán por R1/R3 y R2/R4 y así, ambos
63V 25V 100p
sonidos se oirán de forma simultá-
nea.
F1
TR1 Cada una de las salidas del canal
32mA T izquierdo y derecho tiene un par de
K4 C30 C27
IC2 pines soldados en la PCB, haciendo
47n 47n LM317 +15V más fácil su conexión al altavoz de
B1
C29 C28
R19 R17 dos vías y subwoofer. En este parti-
560 Ω
6k8

cular hemos dejado libertad para que


47n 47n C23 C22 cada uno escoja el tipo de conector
2x 7V5 B80C1500 D1 R18 10µ 100n que desee.
1VA8 C26 C25 C24 63V
Se requieren cuatro tensiones de
6k04

470µ
40V
100n
POWER
10µ
63V
control para controlar el volumen,
agudos, graves y balance. Estas se
020054 - 4 - 12
obtienen de cuatro sencillos poten-
ciómetros lineales (P1-P4). El extremo
Figura 2. Debido a que todas las funciones de control están integradas en IC1, el circuito es final de estos se conecta a la tensión
un ejemplo de sencillez. zéner interna de 5,4 V (pin 17) y
masa, y el punto medio se conecta a
VL, BL, BS y TR (pines 4, 9, 12 y 14).
móviles, se ha producido un considerable los cables que hay entre los poten-
incremento de circuitos integrados de ciómetros y la PCB. El integrado se Detalles del circuito
buena calidad. puede usar con una tensión de ali-
Para nuestro montaje hemos elegido el mentación entre 9 y 16 V (por lo tanto Cada entrada de control se desacopla
LM1036 de National Semiconductor, un inte- también se podría usar una batería por una red RC (R13-R16, C13-C16).
grado DIL de 20 pines que contiene, con de coche). Tiene un gran rango de El rango de control de agudos y gra-
excepción de un puñado de componentes control de volumen, 75 dB, y de con- ves se fija usando un simple conden-
externos, un completo circuito estéreo de trol de tono, ±15 dB. sador por canal. Se trata de C5 y C6
balance/tono/volumen y un control de nivel de Aunque el integrado no es de gran para las altas frecuencias y C9 y C10
sonido conmutado separado. Todas las fun- eficiencia, su calidad es más que para las bajas frecuencias.
ciones se controlan a través de tensiones con- suficiente aquí. De las figuras dadas Son necesarios otros tres conden-
tinuas (DC), por lo que no hay que preocu- por el fabricante se obtiene que el sadores electrolíticos para desacoplar
parse del acoplamiento de ruido a través de aislamiento del canal es 75 dB, la dis- tensiones generadas internamente

22 Elektor
AUDIO&VIDEO
(C7, C8 y C11). C1 y C2 desacoplan frecuencias a configuraciones con Tensión de alimentación
las entradas de posibles tensiones de bajo volumen (nos referimos a la
offset. A la mínima impedancia de curva de respuesta B). Cuando la Aunque la tensión de alimentación nominal para
entrada del IC (20 K) la frecuencia a la entrada del ‘control de compensación el LM1036 es 12 V, nosotros decidimos usar 15
que comienza a notarse la atenuación de sonoridad’ (pin 7) está conectada a V, porque el IC puede trabajar con señales de
está alrededor de 8 Hz. Los conden- la referencia del zéner interno (pin entrada de hasta 2 Vef a esta tensión de ali-
sadores de salida (C17 y C19) tienen 17), el efecto es el corte. La función mentación. Para ahorrar esfuerzo en la búsqueda
un valor mayor que C1 y C2 porque la se activa conectando el pin 7 a la de una fuente de alimentación estabilizada de
impedancia de carga total del sistema entrada (pin 12) de ‘control de volu- 15 V, hemos añadido este circuito al diseño.
de dos vías y el sub-woofer es de men’. Nosotros hemos incluido un Nosotros usamos un LM317 (IC2) para el
unos 6,4 K. Los condensadores C18 y interruptor para esto en el circuito, regulador de tensión, porque tiene mejor
C20 se han añadido para suprimir porque asumimos que a todos los afi- supresión de rizado en la alimentación (típ. 80
oscilaciones de HF internas cuando la cionados les gustaría tener esta fun- dB) que los reguladores estándares 78xx. Las
salida sólo se carga sensiblemente. ción sonora en on y off. También es resistencias R17 y R18 fijan la tensión de
Como ya mencionamos anterior- posible colocar un conector de 3 salida a 15 V. Sin embargo, esto sólo se aplica
mente, el IC contiene una etapa de pines en la PCB, si queremos hacerlo a los valores típicos (1,25 V entre la salida y la
compensación que nos da una etapa más sencillo y colocar un jumper para entrada de control, con 50 µA de corriente de
de compensación sonora que incre- poner a ‘on/off’ dicho efecto de forma ajuste). Debido a las inevitables tolerancias
menta la amplificación a bajas y altas permanente. podemos encontrar que la tensión de salida de
nuestro prototipo era sólo 14,43 V. Si quere-
mos obtener los 15 V de forma precisa, debe-
ríamos variar un poco R18 (incrementa su
valor para una tensión de salida mayor).
K3

~ ~
L R El resto de la alimentación es un circuito
H1

H7

H2 H8

K1 K2 F1 K4
C3 C4
estándar: un condensador de suavizado (C26)
R1 R2 TR1 y supresión de HF (C25), un puente rectificador
C11
R5 R6
R3 R4
(B1) con supresión HF (C27-C30), un transfor-
C1 C2
Ref mador y un fusible de red. El LED D1 se usa
C5 C6
como indicador de alimentación.
OUT5

4-450020
C16

C8

OFF ROTKELE )C(


S1 ON
R16
IC1

C10

Treble PCB
C7

Loudness
020054-4 R15 32mA/T
Bass IC2 C28
C13 C15

La Figura 3 muestra la PCB para el circuito


C14 C9

C29

C25
C27 de control de tono. La sección de la fuente de
Balance Volume
R14 C12 C21 R13
R17 B1 alimentación está en el lado derecho de la
R18
R19
C30

T placa; esta parte se puede cortar y separar de


C17

C19

C23
la PCB principal si la queremos montar en otra
R12
R9

C24

C18 R7
0
+ R11 C20 D1
+ 0
parte de la caja. Una gran separación física
C22

R8 16Vmax
R10
entre el procesamiento de señal y la sección
H3

H5

H4 H6

LT LT T R R
T C26
de alimentación siempre reduce cualquier
OUT1 OUT2 OUT3 OUT4

posible interferencia.
La regla a aplicar para el montaje de com-
ponentes es la normal: primero los compo-
nentes bajos y después los altos, y la aplicare-
mos especialmente a la sección de la tensión
de alimentación. Deberíamos colocar en pri-
mer lugar el transformador y el puente rectifi-
cador, pero eso dificultaría enormemente el
montaje de los condensadores C27, C28 y C29.
En la lista de componentes aparece un trans-
formador de 1,8 VA de la casa Gerth, pero
(C) ELEKTOR
020054-4 como éste tiene un footprint estándar, podrí-
amos usar uno de 1,5 VA.
El ensamblado de la sección del control de
tono no debería llevarnos mucho tiempo. No
hay muchos componentes y la PCB está bien
organizada. Todas las conexiones están clara-
mente etiquetadas y colocadas lógicamente:
las entradas en un lado, las salidas en el con-
trario y las conexiones para los potenciómetros
Figura 3. Cara de pistas y componentes de la PCB. La sección de alimentación se (Agudos, Balance, Volumen, Graves, Masa ( )
puede separar del resto (placa disponible en el Servicio de Lectores). y Ref) están divididas en el resto de lados.

Elektor 23
AUDIO&VIDEO

Figura 4. El montaje de la placa debería ser bastante fácil.

Anteriormente ya mencionamos el inte-


rruptor de sonoridad S1, en nuestro prototipo
LISTADO DE COMPONENTES C26 = 470µF 40V radial
C27-C30 = 47nF cerámico
usamos un conector y un jumper para hacer
esto. Las conexiones de alimentación se han Resistencias:
R1-R4 = 1k Semiconductores:
de colocar tan cerca como sea posible para
desacoplar los condensadores C12/C21 y las R5,R6 = 1M B1 = B80C1500 encapsulado
salidas. La Figura 4 muestra nuestra placa R7,R8,R10,R11 = 220Ω rectangular (80V piv, 1.5A) (~+~–)
de prototipo. La elección de la caja se deja al R9,R12 = 100k D1 = LED, alta eficiencia
lector. Hay muchas cajas disponibles que IC1 = LM1036N (National
R13-R16 = 47k
pueden acomodar ambas PCBs y los cuatro Semiconductor)
R17 = 560Ω
potenciómetros. Recuerde que tenemos que IC2 = LM317 (encapsulado TO-220)
R18 = 6k04
usar un cable de red adecuadamente apan-
R19 = 6k8
tallado y un pequeño racord para que entre a Varios:
la caja y la regleta K4 no sufra tensiones P1-P4 = 47k lineal (mono)
K1, K2 = conector audio, montaje
mecánicas. PCB, por ejemplo, T-709G
Cuando hayamos colocado el circuito debe- Condensadores:
(Monacor/Monarch)
ríamos poner una etiqueta identificativa que C1,C2 = 1 µF, MKT, separación de
K3 = conector jack estéreo 3,5 mm,
muestre el número de la PCB y el valor del pines 5 ó 7,5 mm
montaje PCB
fusible de red. C3,C4 = 1nF K4 = regleta de 2 vías, montaje PCB,
Una gran ventaja de este circuito es que las C5,C6,C12 = 10nF
cuatro entradas de control se atacan con una separación de pines 7,5 mm
C7,C8,C23,C24 = 10µF 63V radial S1 = conmutador, 1 contacto
tensión continua, lo cual significa que la señal
C9,C10 = 390nF conmutado
de audio no va hacia y desde los potencióme-
C11 = 47µF 25V radial F1 = fusible, 32 mA/T (retardado)
tros. En la práctica esto significa que en el
cableado de los potenciómetros no se requiere C13-C16 = 220nF con portafusibles para montaje
cable apantallado, por lo que puede usarse un C17,C19 = 2µF2 MKT (plástico PCB
simple cable ordinario. Por lo tanto el cableado metalizado), separación de pines 5 TR1 = transformador de red,
no es crítico y no hay que preocuparse de las ó 7,5 mm secundario 2 x 7,5 V a 1,8 VA
interferencias de la red. C18,C20 = 100pF (por ejemplo Gerth tipo 304.15-2)
(020054-4) C21 = 100µF 25V radial PCB, código de pedido 020054-4
C22,C25 = 100nF cerámico (ver Página Servicio de Lectores)

24 Elektor
AUDIO&VIDEO

Amplificador Final
a Válvulas
35 vatios a partir de un diseño sin florituras
Diseñado por Bob Stuurman

Este amplificador de potencia a válvulas tiene un diseño en “push-pull”


que utiliza dos válvulas EL34s (o sus equivalentes para Estados Unidos,
6CA7). Para evitar problemas con los montajes de prototipos el diseño
es lo más sencillo posible. La potencia de salida sobrepasa ampliamente
los 35 vatios, con una baja distorsión y un amplio rango de frecuencias de
trabajo. Este amplificador proporciona una excelente reproducción de
sonido cuando se utiliza con un par de altavoces de buena calidad y una
eficiencia razonable, lo que demuestra que un diseño sencillo, con unas
especificaciones convencionales, puede hacernos temblar al escuchar una
melodía musical.
Este amplificador final
está basado en un diseño
de la casa Phillips que
data de finales de los años
cincuenta, con unas pocas
modificaciones sugeridas
por Claus Byrith. Estas
modificaciones consisten
en una fuente de alimen-
tación separada para la
tensión negativa de rejilla
en las válvulas EL34, un
ajuste de tensión AC
balanceado para la etapa
de salida, un pentodo
EF86 cableado como si
fuese un triodo en la etapa
del preamplificador, y una
reducción en la cantidad
de realimentación nega-
tiva total (20 dB). En Inter-
net se han publicado dos
documentos que hacen
referencia a este tema y
describen el diseño en

Elektor 25
AUDIO&VIDEO
detalle. Si está realmente interesado en esta de un solo canal. Existen tres ten- y las de un pentodo normal. Su impe-
materia (ver apartado “Referencias”) le reco- siones de alimentación: una tensión dancia interna se ha reducido prácti-
mendamos su lectura. positiva muy elevada de + 440 V, camente al mismo valor que la de un
Puesto que el circuito actual está muy bien una tensión negativa de rejilla de – triodo, al mismo tiempo que dismi-
documentado, nos limitaremos a una breve 55 V y una tensión de filamentos de nuye la distorsión a los niveles de los
descripción del circuito en este artículo. Sin + 6,3 V. Se han utilizado circuitos de triodos. Sin embargo, la potencia de
embargo, sí tenemos algo que añadir sobre los filamentos independientes para el salida también cae alrededor de un
detalles del diseño menos conocidos, ya que separador del preamplificador/fase 65% de la que proporciona una etapa
proporcionan una buena perspectiva sobre los (Fil1 y Fil2) y para las válvulas de de salida con pentodos puros.
problemas asociados con los amplificadores salida (Fil3 y Fil4). Los filamentos En lugar de obtener una tensión
finales de válvulas montados en “push-pull” y están conectados de forma simétrica de rejilla negativa para las válvulas de
con las soluciones disponibles. al circuito de masa a través de las salida, partiendo de la caída de ten-
En la primera parte del artículo haremos resistencias R28 y R29. sión en los extremos de las resisten-
referencia a los aspectos teóricos del diseño, Las válvulas de salida están fun- cias de cátodo, hemos utilizado una
mientras que en la segunda parte del mismo cionando en el modo “muy lineal”, tensión de alimentación indepen-
centraremos nuestra atención sobre su mon- que se consigue conectando sus diente para la rejilla. Esto evita que el
taje. Como este proyecto es un montaje DIY pantallas de rejilla a la derivación en punto de funcionamiento de las vál-
(bastante mejor que un simple kit de montaje), los bobinados de ánodo del transfor- vulas se desplace durante su funcio-
ciertas partes del mismo se describirán más mador de salida, por medio de una namiento. La magnitud de la tensión
detalladamente. resistencia de 1 K. Debido a la reali- de rejilla negativa para las válvulas de
mentación negativa interna que se salida puede ajustarse utilizando el
Esquema eléctrico produce a través de la pantalla de potenciómetro P2 (“corrientes DC”),
rejilla, los pentodos muestran unas mientras que el centrado de la ten-
La Figura 1 representa el esquema eléctrico características de funcionamiento sión DC puede ajustarse mediante el
completo de un amplificador final a válvulas comprendidas entre las de un triodo potenciómetro P3.

C5 680p

R7
3k3
185V 420V +440V
R9 R23
100k 10k
+440V
C7 C8
D1 P1
C2 C3
470n 10µ
C4 50k
100n 10µ R12 R14
V3 3
200V
100p
150k

150k

1
R4 R8
C9 R20 4
47k

27k

5
320V 2k2
100n 8
320V
390k

R16 R26
EL34
1k

85V
TpV3 *
V3a Tr1
LS +
V1 6
R10
6
V2
1
R17
C12
R24
*
V3g
Fb+ LS +
1k

10Ω
47k

P3 R30
8 220n
C1 1 7 2 Tr+
R3
1k

Tp0 LS1
9 8Ω
4k7 R18 R25
3 8 3 C13 V4g
10Ω
47k

470n 20k

EF86 ECC83 220n


R27 Fb0 LS –
LS –
1V1
TpV4 V4a *
1k

R5 R11
87V
390Ω

1M

390k

R19
EL34
8
C10 R21 C14
5
2k2 4
R1 R2 R6 R13 100n 1 470n
C6
100Ω

82k
1M

1M

220n V4 3

0V 0V

C11
100Ω

R28 P2 470µ
R15 R22 – 55V
V1 V2 V3 V4
5 7 2 15k 100Ω
Fil 1, 2 Fil 3, 4 – 55V
4 4 9 5 2 7 10k
6V3 6V3

* *
100Ω

R29 zie tekst siehe Text

* see text * voir texte


020071 - 11

Figura 1. Esquema eléctrico del amplificador final a válvulas.

26 Elektor
AUDIO&VIDEO

Especificaciones A 10

del Amplificador 5

Impedancia de Entrada: 1M 2

Sensibilidad de Entrada: 600 mV 1

Impedancia Nominal de los Altavoces: 8 Ω (4 Ω opcional)


Potencia de Salida Máxima: 39 W sobre 8 Ω 0.5

%
Ancho de Banda con 1 W: 5 Hz – 40 kHz 0.2
THD + Ruido (1 W/8 Ω, 1 kHz): 0.06% (B = 80 kHz)
Relación Señal/Ruido: 62 dB (B = 22 kHz) 0.1

88 dB (con carga) 0.05

Prestaciones 0.02

0.01
En este apartado se muestran algunos resultados de las medidas 20 50 100 200 500
Hz
1k 2k 5k 10k 20k
020071 - 15

realizadas. Así, la figura A muestra la distorsión armónica en fun-


ción de la frecuencia. La curva inferior fue tomada con un nivel
de potencia de salida de 1 W, mientras que la curva superior se
estableció con 27 W de salida. Especialmente la curva de 1 W es
muy aceptable y representa un nivel de potencia típica para B +0

-10

aquellos que escuchan música. La figura B, que es mucho más -20

irregular que la anterior, muestra un análisis FFT (Transformada -30

Rápida de Fourier) con una señal de 1 KHz y con un nivel de -40

potencia de salida de 1 W. El equipo de medida ha suprimido la -50

señal senoidal de 1 KHz y los picos que quedan representan la -60


d
distorsión residual del amplificador. No debemos alarmarnos al B
r
-70

-80
observar esta figura, ya que, debido al amplio rango dinámico del A
-90
analizador (150 dB), proporciona una impresión exagerada de la -100
situación actual. Las componentes más importantes son los picos -110
de distorsión para 2 y 3 KHz, los cuales están localizados a – 77 y -120

– 90 dB, respectivamente. Para un diseño relativamente sencillo -130

que utilice válvulas y transformadores de salida, se trata de un -140

resultado muy bueno. La hendidura que se produce a 50 Hz la -150


20 50 100 200 500 1k 2k 5k 10k 20k
Hz
provoca la oscilación residual de la tensión de alimentación y no 020071 - 16

tiene nada que ver con el espectro de distorsión.

La etapa de salida funciona en Se han proporcionado tres puntos El separador de fase proporciona una
modo “Clase A” para pequeñas de prueba para alinear el circuito. Así, ganancia de, aproximadamente, 26 veces, lo
señales, pero se desplaza de forma TP0 es la masa del circuito, mientras que significa que se necesita un nivel de 1V
incremental hacia un funciona- que TPV3 y TPV4 son los puntos de en la rejilla de V2a para controlar totalmente
miento en “Clase B” a medida que prueba de alineamiento para las vál- la etapa de salida. La alta resistencia de la
el nivel de la señal también se incre- vulas V3 y V4, respectivamente. resistencia de cátodo (R13) proporciona una
menta. Asimismo, el consumo de Las válvulas EL34 proporcionan baja distorsión y una alta tensión de cátodo
corriente se incrementa a medida una potencia de salida máxima (alrededor de 87 V), lo que permite que la reji-
que las señales son más grandes. El cuando la tensión en la rejilla de con- lla de V2a pueda controlarse directamente
punto de funcionamiento puede trol es de, aproximadamente, 26 V. desde el ánodo de las válvulas EF86 del pre-
regularse dentro de unos ciertos Este nivel de control puede propor- amplificador, sin necesidad de utilizar un
límites ajustando el tamaño de la cionarse fácilmente por medio de un condensador de acoplo.
tensión negativa de rejilla. Como se separador de fase. El separador de El preamplificador está cableado como un
ha utilizado una tensión de alimen- fase es un modelo que tiene los cáto- triodo al conectar la malla de la rejilla al
tación independiente para la ten- dos conectados juntos y la rejilla del ánodo, ya que no se necesita la alta ganan-
sión negativa de rejilla, la tensión de segundo triodo (V2b) conectado a cia que se puede obtener con el pentodo.
alimentación total de ánodo está masa para señales AC, por medio del Esto reduce el factor de ruido al de un triodo,
presente en los extremos de las vál- condensador C6. Como el triodo V3a al mismo tiempo que se mantiene el buen
vulas de salida. está controlado por la rejilla y el apantallamiento interno y se suprimen las
Los cátodos están conectados a la triodo V2b por el cátodo, existe una características microfónicas provenientes de
masa de la señal a través de una pequeña cantidad de señal no balan- esta válvula.
resistencia de 10 Ω (R24 y R25). Las ceada, en las magnitudes de voltios Se necesita un nivel de señal de 60 mV
tensiones en los extremos de estas de AC, sobre los ánodos. Estas ten- en la rejilla de la válvula EF86 para controlar
resistencias son proporcionales a las siones pueden ajustarse a un valor totalmente la etapa de salida. Debido a los
corrientes que pasan a través de las exacto utilizando el mismo potenció- 20 dB de realimentación negativa propor-
válvulas (10 mV/mA). metro P1 (“balance AC”). cionada por las resistencias R6 y R7, el nivel

Elektor 27
AUDIO&VIDEO
de entrada necesario para controlar total-
mente la etapa de salida es de 600 mV. Con
C5 D5 D6 C6
este nivel, la potencia de salida es de 39 W. F1
315mA F +440V
El amplificador comienza a emitir pequeños 1N4007
340V
sonidos con un nivel de entrada de 0,7 V, lo C8 C7
R2
+440V
C11 D10
que se corresponde con una potencia de

47k
D8 D7
salida de unos 46 W. 470µ C12
La frecuencia resonante del transforma- 4x 100n
R1 100n
dor de salida, debido a su pérdida de induc- 4x BYW96E C10 D9

47k
tancia es de, aproximadamente, 80 kHz. A
470µ
esta frecuencia la ganancia de lazo abierto 0V

debe ser lo suficientemente pequeña para 1N4007


asegurar que el amplificador permanezca
estable. La ganancia necesaria la suministra C1 D1 D2 C2

el condensador C4 y la resistencia R8, con


40V
una pequeña ayuda del condensador C5. Los C4 C3
valores de estos componentes se determina- C9
D4 D3
ron experimentalmente usando señales de 470µ
onda cuadrada. – 55V
4x 100n
Cuando se enciende el amplificador, la
4x 1N4007 – 55V
020071 - 12
tensión continua elevada y la tensión nega-
tiva de rejilla están presentes casi inmedia-
Figura 2. Esquema eléctrico de la fuente de alimentación.
tamente. Sin embargo, los filamentos deben
de calentarse antes de que cualquier
corriente pueda pasar a través de las válvulas.
Así, se ha incluido el diodo D1 para evitar
que pueda aparecer una tensión elevada
excesiva en el ánodo y en la pantalla de la
rejilla de la válvula EF86. Este circuito
alcanza su estado normal de funcionamiento
después de unas decenas de segundos, con
una tensión de, aproximadamente, 185 V en
los extremos del diodo D1.
Se han utilizado resistencias de supresión
de radiofrecuencia para el control de las reji-
llas de todas las válvulas. Estas resistencias
estaban presentes en el diseño original, por lo
que también las hemos mantenido en nues-
tro circuito.
En el diseño original los condensadores
de acoplamiento de pantalla para las válvu-
las de salida (C9 y C10) tenían un valor de
470 nF, pero se demostró que la corriente a
través de las válvulas de salida tenía unas
fluctuaciones mucho más grandes a fre-
cuencias muy bajas (entre 0,2 y 0,5 Hz), las
cuales también estaban presentes en los La fuente de alimentación 100 mA, lo que nos permite obtener
altavoces de salida. Esto era debido proba- una adecuada tensión de 55 V des-
blemente a las pequeñas variaciones en la Las buenas características del ampli- pués de los procesos de rectificación
tensión negativa de rejilla. Puesto que estas ficador final a válvulas son en parte y filtrado. La corriente total para los
fluctuaciones tienen una pequeña amplitud y debidas a su robusta fuente de ali- filamentos sobre las válvulas es de
ya que el transformador de salida tiene una mentación. El transformador toroidal unos 7 A, pero como la carga en el
gran autoinductancia, dichas fluctuaciones de la casa Amplimo, del tipo 7N607, bobinado de alta tensión es bastante
no son bloqueadas por el transformador de cuyo peso ronda los 3,5 kg, puede pro- más pequeña y prácticamente no se
salida y encuentran el camino para llegar porcionar 340 V sin problemas con consume potencia para el bobinado
hasta la entrada del amplificador a través de una corriente de 700 mA. Después del de la tensión de pantalla, esto no
la red de realimentación negativa. Este fenó- proceso de rectificación y de filtrado supone ningún problema.
meno ha sido reducido a un nivel aceptable se pueden conseguir más de 400 mA La Figura 2 muestra el esquema
disminuyendo el valor de los condensadores sobre una tensión de 440 V, disponi- eléctrico de la fuente de alimentación.
C9 y C10 a 100 nF. Esta modificación no bles para el amplificador. El bobinado Cuatro diodos conectados en una con-
tiene ningún efecto audible en la reproduc- para la tensión negativa de pantalla figuración de puente rectificador se
ción de las bajas frecuencias. suministra 40 V con una corriente de encargan de rectificar la tensión ele-

28 Elektor
AUDIO&VIDEO
vada. Los diodos tienen un rango de rios podían estar conectados tanto en transformer
corriente de funcionamiento de 60 A. una configuración en serie como en
En paralelo con los diodos se han paralelo, de modo que se pudiesen
valve
conectado condensadores para la obtener las impedancias de entrada y
supresión de interferencias. Como es de salida deseadas. El tamaño real Ri
prácticamente imposible crear con- sería enorme para un transformador y LA
Uout
densadores electrolíticos de filtrado de podemos estimar que, seguramente,
alta tensión con una gran capacidad, pesaría más de 5 kg.
se han conectado un par de conden- Nuestros lectores pueden pregun- µUg
sadores electrolíticos de 470 µF y 400 tarse: ¿por qué fue necesario utilizar
a
V en serie, de manera que pueda con- un transformador bobinado de una
seguirse la capacidad efectiva de 235 manera tan complicada? La razón es
µF. Los diodos D9 y D10 evitan que los que la capacidad de un transforma-
condensadores lleguen a tener una dor para que una señal senoidal pase
tensión negativa en sus extremos a su través decrece a medida que la valve
cuando el amplificador se desconecta. frecuencia de la señal se incrementa, Ri
Las resistencias R1 y R2 dividen la incluso con los transformadores de Uout
transformer
tensión presente en los extremos de mejor calidad, la caída a 25 kHz es ya
los condensadores y descargan los de unos 0,5 dB.
mismos durante varios minutos, des- La Figura 3 ilustra el circuito
µUg
pués de que el amplificador haya sido equivalente de un transformador con-
desconectado. El condensador C12 trolado por una válvula electrónica. La b
proporcionada el correspondiente des- parte (a) muestra la situación a muy
acoplo para señales de radiofrecuen- bajas frecuencias. En este caso, la transformer
Rw LS
cia. Un fusible rápido (F) de 315 mA se autoinductancia del primario debe ser
encarga de la protección, actuando elevada para poder limitar la corriente valve
como salvavidas para las válvulas de y permitir que se genere el suficiente
Ri
salida si la tensión negativa de rejilla flujo magnético sin llegar a la satura- Cw
llega a ser demasiado pequeña ción. La parte (b) muestra la situación Uout
(menos negativa). a frecuencias medias, donde se esta-
blece una alta impedancia. Por su
lado, la parte (c) presenta el compor- µUg
Transformador de salida
tamiento a altas frecuencias, donde la c
En un amplificador de válvulas ”push- señal es atenuada por la inductancia 020071 - 13

pull”, el componente más importante, residual (Ls) y la capacidad producida


Figura 3. Circuito equivalente del transformador
el más crítico y, de forma invariable, por el entre-bobinado. La inductancia de salida a varias frecuencias.
el más difícil de obtener, es el trans- residual aumenta al mismo tiempo
formador de salida. El diseño original que lo hace el flujo magnético resi-
de la casa Phillips utilizaba un trans- dual, como resultado del acopla- paso/bajo, formado por la inductancia residual
formador de salida que tenía 10 bobi- miento incompleto entre los distintos y la impedancia de carga, crea un tiempo de
nados primarios conectados en serie bobinados. retardo. La diferencia de fase resultante entre
con ocho bobinados secundarios El paso de la señal a través del las señales de entrada y de salida se incre-
entremezclados entre los bobinados transformador se realiza en una cierta menta con el aumento de la frecuencia. Por lo
del primario. Los bobinados secunda- cantidad de tiempo, ya que el filtro tanto, la señal de salida se retrasa cada vez
más, por detrás de la señal de entrada, a
medida que aumenta la frecuencia. A 20 kHz
Especificaciones Básicas la diferencia de fase puede ser ya de 14 grados.
Ni que decir tiene que esto puede tener serias
del LL1620PP consecuencias para la reproducción de señales
Relación de vueltas entre primario y secundario: 4 x 19.2 / 8 x 1 rectangulares, aunque afortunadamente existe
Resistencia DC del bobinado primario: * 308 Ω (4 x 77 Ω) una técnica para enfrentar el problema de la
Resistencia DC del bobinado secundario: 0.4 Ω atenuación de las señales de alta frecuencia y
(media por bobinado) el incremento de la diferencia de fase a fre-
Autoinductancia del bobinado primario: 300 H cuencias elevadas: la realimentación negativa.
Autoinductancia del bobinado secundario: * 13 mH Volviendo al transformador de salida (ver
Impedancia del primario en este diseño: 6k Figura 3), hemos visto que la inductancia Ls y
Impedancia del secundario en este diseño: 4Ωu8Ω la capacidad Cw también forman un circuito
Entrehierro: 25 µm resonante, de manera que se produce un rápido
Pérdidas del transformador a 62 W: 0,2 dB
incremento del ángulo de fase cuando la fre-
Peso: 2.5 kgs
cuencia de la señal pasa a través de la frecuen-
* Todos los bobinados están conectados en serie. cia resonante de este circuito. Esto puede hacer
que el amplificador se comporte de una manera

Elektor 29
AUDIO&VIDEO
inestable. En consecuencia, la ganancia del lazo alcanzar utilizando complicados méto- transformador es el modelo LL 1620PP
abierto de un amplificador con realimentación dos de bobinado (tales como el método de la compañía sueca Lundahl. Este
negativa debe atenuarse, de modo que el pro- usado en el transformador de salida de transformador tiene un núcleo en “C”
ducto de la ganancia por la realimentación (A x la casa Phillips mencionado anterior- hecho de un tipo especial de hierro,
ß) sea menor de 1 a dicha frecuencia. Si el mente). Por supuesto, este tipo de con dos bobinados primarios y cuatro
amplificador debe tener un ancho de banda transformadores no son nada baratos. bobinados secundarios en cada
amplio, es esencial para el transformador de Después buscar afanosamente, extremo. Las dos mitades del núcleo
salida tener una frecuencia resonante lo sufi- hemos encontrado un transformador se han mantenido apretadas una con
cientemente elevada. Esto requiere que la de salida para válvulas suficiente- otra en la trama del transformador con
inductancia residual y la capacidad del bobi- mente adecuado para el diseño del una cinta soldada. La versión “push-
nado sean pequeños, lo cual sólo se puede amplificador Phillips modificado. Este pull” de este transformador (también
están disponibles versiones para utili-
zar en amplificadores con una única
53
Primary connections Secondary connections salida) tiene un pequeño entrehierro
(25 µm), de manera que se crea un
18 17 16 15 14 13 12 11 pequeño balanceo en las corrientes
continuas (DC) a través de los bobina-
4 x M4
90
Mounting holes
110
dos primarios, que puede tolerarse sin
producir una gran reducción en la
Bottom view
autoinductancia del primario. Los cua-
1 2 3 4 5 6 7 8 26 25 24 23 22 21 20 19 tro primeros bobinados están conec-
tados de forma simétrica en serie, con
78 85 puntos de apantallamiento en el punto
central de los bobinados, que pueden
a
conectarse a las mallas de las rejillas
1
del pentodo de salida de la válvula
A* 1
2
para que funcione en el modo “ultrali-
Coil 1 Coil 2
3 A* neal”. Los ocho bobinados secundarios
3 1 4 2 6 8 5 7
G* G* pueden conectarse en serie o en para-
4
lelo de varias formas, de manera que
Tr+ Tr+
se pueda proporcionar una impedan-
LL1620PP

14 22 13 21 20 12 11 19 26 18 25 17 16 24 23 15
G
5
G
cia de salida de 4 u 8 Ω. Con 13 mH, la
6 inductancia residual del transformador
outer inner outer inner
7 A LL 1620PP es algo elevada, pero es
b 8 A 8 inevitable con una inductancia del pri-
c
mario tan elevada (no menos de 300
H). Como en la versión modificada del
amplificador la ganancia del lazo
11 11 abierto y la realimentación negativa se
LL1620PP han reducido, el conjunto permanece
PRINT B estable a pesar de la inductancia resi-
4 ohm dual relativamente elevada.
Las especificaciones más impor-
d * * e tantes del transformador se muestran
R30 en el apartado “Especificaciones Bási-
cas del LL 1620PP”. En la Figura 4a
LL1620PP
PRINT C se muestran las dimensiones del trans-
R30 8 ohm formador. Las placas de la casa Paxo-
lin, cuyos terminales están numerados
11 19 11 19 Fb+ tal y como se muestra en la figura,
12 20 12 20
están fijadas a ambos lados de los
13 21 Fb+ 13 21 R30
14 22 R30 14 22 1k bobinados. En la Figura 4b se mues-
1k
tra el diagrama de bobinado del trans-
15
16
23
24
* Fb 0 15
16
23
24
* Fb 0 formador. Cada bobinado primario está
17 25 17 25
colocado en forma de “bocadillo” entre
18 26 18 26 dos bobinados secundarios
Para que el uso del transformador
020071 - 14
sea más sencillo y reducir los errores
Figura 4. El transformador LL 1620PP: (a) dimensiones y terminales, (b) esquema del en el conexionado, el autor de este
bobinado del transformador, (c) conexiones del bobinado primario y diagrama de pistas de la montaje ha diseñado tres pequeñas
placa de circuito impreso opcional, (d y e) conexiones del bobinado secundario y diagrama de placas de circuito impreso para reali-
pistas de la placa de circuito impreso opcional para altavoces de 4 Ω (d) y de 8 Ω (e). zar las conexiones hacia el transfor-

30 Elektor
AUDIO&VIDEO
En la Figura 4d se muestra el diagrama de
pistas de la placa de circuito impreso y las
conexiones para el montaje de un altavoz de
4 Ω (debemos señalar que hay que realizar dos
enlaces con hilos en la cara inferior de la
placa, marcados con dos pequeñas líneas). Por
su parte, la Figura 4e nos muestra el dia-
grama de pistas de la placa de circuito
impreso y las conexiones para una impedan-
cia de altavoz de 8 Ω. En este caso, tan sólo
hay un enlace con hilo. Ambas configuracio-
nes incluyen un puente resistivo de 1 K en la
salida (R30). Esta resistencia proporciona una
cierta cantidad de protección para el transfor-
mador de salida si no tenemos ningún altavoz
conectado al mismo. También proporciona la
estabilidad del amplificador con una carga
capacitiva, la cual puede estar presente si uti-
lizamos un cable largo para el altavoz.
mador. Estas placas no están dispo- como triodo y que la potencia de Los terminales para el secundario del trans-
nibles en nuestro Servicio de Lecto- salida sea algo más baja. Para poder formador se han creado llevando los terminales
res, pero si queremos fabricarlas nos- mantener el acoplamiento entre el esmaltados de los bobinados fuera de la placa
otros mismos podemos bajarnos el bobinado del ánodo y el apantalla- terminal. Si utilizamos una de las placas de cir-
diagrama de pistas de la página web miento de las rejillas, las distintas par- cuito impreso mostradas en la figura para las
de Elektor (número de referencia tes del bobinado se han mantenido lo conexiones de 4 o de 8 Ω, tendremos que doblar
0200711-1). Sin embargo, tampoco más cerca posible. Así, los bobinados los terminales secundarios de manera plana,
es demasiado difícil realizar el cone- en el mismo extremo del transforma- colocarlos contra el ancho de las pistas, sobre la
xionado del transformador al circuito dor han sido adaptados juntos. placa, y soldarlos en su lugar correspondiente.
de forma manual. Las conexiones El transformador tiene ocho bobi- En la siguiente entrega de este artículo
necesarias se muestran al lado de nados secundarios, los cuales pue- describiremos el montaje del amplificador.
cada uno de los diagramas de cone- den conectarse juntos tanto en serie Puesto que este proceso conlleva un cierto
xionado de cada placa de circuito. como en paralelo, de distintas mane- número de imágenes, en este artículo se indi-
Para cada una de las placas de ras, de modo que se pueda obtener can de algunas de las prestaciones medidas
este circuito, el transformador está la impedancia de secundarios dese- en el comportamiento del amplificador (ver
localizado en la “cara de componen- ada para los altavoces (de 4 u 8 Ω), apartado “Prestaciones”).
tes” de la placa. Los números de las así como la impedancia de primario (020071-1)
placas (1, 8 y 11) se corresponden con requerida (6,0 k). En la configuración
los números de los terminales que se de 4 Ω, dos conjuntos de bobinados
muestran en la Figura 4a. secundarios están conectados en Referencias
www.lundahl.se
En la Figura 4c se indican las serie, mientras que en la versión de
– amplifier_30wpp.pdf
conexiones y los diagramas de cone- 8 Ω debemos tener tres conjuntos – appendix_cb.pdf
xionado de las placas de circuito conectados en serie. www.amplimo.nl
impreso para el bobinado primario.
Sencillamente tendremos que colocar
la placa de circuito impreso sobre los
terminales del transformador y sol-
darlos en su correspondiente lugar.
Las conexiones están marcadas
como sigue: tensión de alimentación
= Tr +, ánodos = A /A*, apantalla-
mientos de rejillas G / G*. El asterisco
(*) nos indica el punto donde se ini-
cia el bobinado.
En el diseño original de la casa
Phillips las tomas para el apantalla-
miento de las rejillas se tomaron en el
punto correspondiente al 40 % del
bobinado, medido desde la parte cen-
tral. En nuestro diseño, la proporción
es del 50 %, lo que hace que la etapa
de salida se desplace más hacia el
funcionamiento en comportamiento

Elektor 31
MICROCONTROLADOR

Pico PLC
¿Microcontrolador o Controlador Lógico Programable?
Diseñado por H Gebhard, DF2DS

Hay fuertes similitudes entre esos dispositivos para controlar equipos y


el circuito descrito aquí. Nosotros enfatizaremos las diferencias para
poder hacer distinciones con mayor facilidad. El Pico PLC sólo utiliza
componentes estándares y las herramientas de software necesarias están
disponibles de forma gratuita en Internet para usuarios privados.

uso de interrupciones, temporizadores


software y el trato de varios protocolos
(normalmente RS-232 o bus CAN).
El programa de usuario siempre se
ejecuta cíclicamente en un periodo
de tiempo fijado. Esto asegura que el
comportamiento de la temporización
del controlador es predecible. Un pre-
rrequisito importante a considerar en
los bucles son ‘las esperas durante la
ocupación’: sólo el bucle del pro-
grama principal puede comprobar si
ha ocurrido un evento particular.
Se sugieren varios métodos para
programar PLCs, tales como el ‘dia-
grama en escalera’ (la cual parece un
grupo de interruptores interconecta-
dos) o como una ‘lista de instruccio-
nes’ (la cual parece más un código
fuente en ensamblador). El programa
de usuario está almacenado en el
PLC en memoria flash y se ejecuta
automáticamente cuando aplicamos
tensión de alimentación.

¿Qué es mejor un micro-


Una distinción que frecuentemente se ridad inversa, picos de tensión y cor-
esgrime entre microcontroladores y PLCs tocircuitos. controlador o un PLC?
(Controladores de Lógica Programable) es También encontramos una gran
que estos últimos se usan en aplicaciones de diferencia en el software: un PLC eje- No hay una respuesta clara a esta
control industrial, en particular esto significa cuta un programa monitor, el cual con- cuestión. Los sistemas basados en
que tendremos una tensión de 24 V. Tam- trola la secuencia de eventos ejecuta- microcontrolador son considerable-
bién, el circuito estará protegido contra pola- das. Esto facilita, entre otras cosas, el mente más flexibles, pero requieren

32 Elektor
MICROCONTROLADOR

33
+5V +12V +12V
IC7.D
7 10
R31

4k7
R1 D9 D25 RE1
IC5.D K10
K2 1k8
7 10
R9 R19
D1 8 9 D20
680Ω

10k
1N4148
IC7.C
8 9 5 12
+12V
R2 D10
K3 IC5.C
1k8 R32
5 12

4k7
R10 R20 D26 RE2
D2 +5V 6 11 K11
680Ω

10k
IC7 = LTV847
IC7.B D21
+5V +5V D17 IC8 = LTV847
6 11 3 14 1N4148
R3 D11 1N4148 +12V
K4 IC5.B
1k8 +12V
3 14
R11 4x 4k7 4x 4k7 R18 R37 R21
D3 R17 1 R36 1 C7 C1
4 13
680Ω

10k

10k
10k R33
100n 100n

4k7
D27 RE3 K12
IC7.A IC3 9 K15 K16
4 13 IC1 14 1 16
16 DS
2 3 4 5 2 3 4 5 6 11 D22
R4 D12 I1 O1
IC5.A 4 9 4 13 1N4148
K5 1k8 MCLR RB3 I2 O2
1 16 4 MUX 11 17 8 2 15
R12 0 0 RA0 RB2 R22 I3 O3
D4 3 0 10 18 7 2 15 1 16
+12V
680Ω

1 G RA1 RB1 10k I4 O4


2 7 9 1 6 7 10
2 2 RA2 RB0 I5 O5
1 3 2
RA3 RB4
10 IC8.D 5
I6 O6
12
IC2 R34
2 15 15 3 11 7 10 3 14
RA4 RB5 I7 O7

4k7
4 D28 RE4
14 74LS151 5 16 12 K13
R5 D13 5 OSC1 RB6 GND
K6 1k8
IC6.D 13 15
OSC2 RB7
13
6 8
7 10 12 6 D23
R13 7 R23 ULN2003
D5 7 8 9 2 3 4 5 6 7 8 1N4148
680Ω

EN 10k

Figura 1. Un microcontrolador con entradas y salidas aisladas.


C2
5
PIC16F84
8 22p IC8.C +12V
8 9 5 12
R27 1 7x 10k
R6 D14 R35
K7 IC6.C

4k7
1k8 D29 RE5
5 12 +5V K14
R14 IC5 = LTV847 R24
D6 6 11
IC6 = LTV847
680Ω

10k
D24
IC8.B 1N4148
9 7 5 3 1
6 11 * see text K1 3 14
R7 D15 * +12V
K8 1k8
IC6.B
10 8 6 4 2

Elektor
3 14
R15 R25 D19 D20 ... D24 = LED 2mA
D7 4 13
680Ω

IC4 10k
R29
78L05AC 1N5407

330Ω
+5V
4 13 T1
B1 R28 +12V
IC8.A R30
R8 D16 3k3
IC6.A 1 16
K9 1k8 30V
1 16 C6 C3 C4 C5
R16
D8
TIP125

680Ω
47µ 100n 100n 47µ
35V 16V R26
2 15

010059 - 11
1k
2 15
D1...D8 = 1N4148
U-SENSOR

10/2002
MICROCONTROLADOR
mucha más experiencia de programación y Las entradas individuales están entrada máxima inversa menor (6 V
disciplina que cuando se usan PLCs. Las posi- eléctricamente aisladas usando opto- máximo para el PC847 [2] o LTV847).
bilidades son mucho más amplias porque hay acopladores, como demanda el Una característica especial es la
todo un rango de instrucciones disponibles diseño práctico del hardware están- conexión del sensor en U, la cual es
para su uso. Casi siempre existe un compilador dar del PLC. Los divisores de tensión una salida excitada por el microcon-
en lenguaje de alto nivel, generalmente en C o evitan que circule una corriente trolador. Usado esto los sensores se
BASIC, aunque se pueden encontrar lenguajes demasiado grande a través del opto- pueden alimentar con tensión sólo
como el Pascal u otros lenguajes exóticos. acoplador con una tensión de entrada cuando lo requieran. Esta caracterís-
Sin embargo, debe quedar claro para cual- elevada (aquí entre 10 y 30 V). El tica de ahorro de energía es muy útil,
quier persona que use un compilador para un diodo conectado en antiparalelo con especialmente cuando los sistemas
lenguaje de alto nivel que no será posible el optoacoplador es importante: pro- funcionan con batería.
estrechar el último ciclo de reloj del micro- tege el LED en el caso de entrada de Los drivers de salida también
controlador. Sin embargo, la programación en polaridad inversa. Los LEDs infrarro- están eléctricamente aislados del
un lenguaje de alto nivel confiere las ventajas jos usados tienen una tensión de controlador usando optoacopladores.
de la simplificación del depurado y modifica-
ción o adaptación del código, comparado con
un lenguaje ensamblador normal. LISTADO DE COMPONENTES R31-R35 = 4k7
R37 = 10k (4k7)*
El Pico PLC Resistencias:
Condensadores:
R1-R8 = 1k8
C1,C3,C4,C7 = 100nF cerámico
El sistema Pico PLC se puede usar en ambas R9-R16 = 680Ω
C2 = 22pF
áreas de aplicación. El corazón del hardware es R17,R36 = array SIL 4 x 4k7
C5 = 47µF 16V radial
un microcontrolador PIC16F84 de Microchip R18-R25 = 10k
C6 = 47µF 35V radial
R26 = 1k
[1]. Este microcontrolador ofrece 13 líneas digi-
R27 = array SIL 7 (u 8) x 10 k Semiconductores:
tales de I/O, 64 bytes de EEPROM y 1.024 pala- R28 = 3k3 B1 = B80C1500 (80V piv, 1.5A),
bras de 14 bits de memoria de programa flash. R29 = 330Ω encapsulado redondo
A primera vista esto puede parecer irrisorio R30 = varistor 30 V, 600 mW, D1-D8,D17,D25-D29 = 1N4148
comparado con la cantidad de memoria encon- diámetro 15-17 mm (p.j., BC - D9-D16,D20-D24 = LED. Baja
trada en un PC, pero gracias al set de instruc- Componentes # 2322 5953006) corriente
ciones muy eficientes, no hay nada más ade-
cuado para muchas aplica-
ciones.
Si las tareas del micro-
H7

H8

controlador no son muy crí-


K2 K3 K4 K5 K6 K7 K8 K9
ticas en el tiempo, el cristal
D9 D10 D11 D12 D13 D14 D15 D16
de cuarzo se puede susti-
D1

D2
R10

D3
R11

D4
R12

D5
R13

D6
R14

D7
R15

D8
R16
R9

R1

R2

R3

R4

R5

R6

R7

R8
tuir por un oscilador RC
interno para generar reloj
C1 R36 C7
(con R37 y C2). C6 C3
B1
Como podemos ver en IC5 IC2 IC6
R18

~
D17

el esquema del circuito de


la Figura 1, no hay secre- R17
C2
R28 IC4 ~
tos que se escondan den- K1 R29
R37 C4
tro del procesador. Las R22 C5 +12V
R26 D19
entradas se llevan una a R21
IC1

R27
IC7

IC8

IC3

R25 T1 0
una a los pines del puerto
R20 R30
RA3, el cual está configu- R24
R19 010059-1
rado como entrada, a tra- K15
H4

H2

R23 1-950010
ROTKELE )C(
vés del multiplexor IC2. La
selección de la entrada se K16 +12V
D24 D23 D22 D21 D20
H6

H5

hace usando los pines del


puerto RA0, RA1 y RA2. La
D29

D28

D27

D26

D25
R35

R34

R33

R32

R31

inversión de la salida del


RE5

RE4

RE3

RE2

RE1

multiplexor cancela la
inversión que ocurre en el
optoacoplador.

Figura 2. La parte de placa K14 K13 K12 K11 K10


del circuito que lleva relés
se puede separar del resto
H1

H3

del PLC.

34 Elektor
MICROCONTROLADOR
La tensión de salida deseada simple- nes y siempre es posible omitir los PIC16F84 es la disponibilidad, buena calidad
mente se conecta y ya está. General- relés si el ULN2003 es capaz de rea- y bajo coste e incluso con las herramientas de
mente serán 12 ó 24 V. El integrado lizar el control por sí mismo. desarrollo gratuitas. El fabricante, junto con la
IC3, un ULN2003, permite tensiones mayoría de los competidores del mercado,
de salida de hasta 50 V, siempre que El software ofrece unas herramientas de desarrollo soft-
la tensión de salida total no exceda ware muy potentes. MPLAB [4] de Microchip
500 mA. Cualquier dispositivo hardware con- incluye un ensamblador, un linker y un simu-
Debido a que es deseable conmu- trolado por microprocesador depen- lador, el cual permite verificar la precisión
tar cargas mayores que esto, además derá de la calidad del software que lógica del software en un PC. Junto con estas
de tensiones de red, se conectan corra en él, y la calidad de este soft- herramientas tenemos un gran rango de posi-
relés a las salidas del integrado driver ware depende en gran medida de las bilidades de desarrollar proyectos, como se
de potencia, capaces de conmutar herramientas de desarrollo usadas muestra claramente en la página web de
hasta 16 A a 250 Vac. Esto sería sufi- para escribirlo. Una de las razones Microchip.
ciente para casi todas las aplicacio- principales para seleccionar el Si preferimos programar el PIC en un len-
guaje de alto nivel, necesitaremos un compi-
lador de lenguaje de alto nivel. Afortunada-
D19 = 1N5407 K15 = tira molex de 9 pines mente hay disponibles dos buenos compila-
IC1 = PIC16F84A-04/P K16 = tira molex de 6 pines dores de C para el PIC que ya están
IC2 = 74LS151 RE1-RE5 = relé, 16 A/250 VAC disponibles y cuyos nombres son C2C de
IC3 = ULN2003 (Finder # 40.61, bobina 12 VDC, Pavel Baranov [5] y CC5X de Knudsen Data
IC4 = 78L05AC 220 V; o Omron # G2R-1-E 12VDC; [6]. Los compiladores difieren sensiblemente
IC5-IC8 = LTV847 (Liteon), ILQ621 o Schrack # RP310012) en las instrucciones específicas del procesa-
(Infineon) o PC847 (Sharp) PCB, código de pedido 010059-1
dor, pero por lo demás tanto uno como otro
(ver página de Servicio de Lectores)
Varios: Disco, programa de prueba, código de son buenos. El código fuente en ensamblador
K1 = conector de caja de 10 vías pedido 010059-11 o descargar se genera desde un fichero fuente en C; el
K2-K9 = regleta de 3 vías para PCB, gratuitamente de la página de elektor resultado se ensambla automáticamente y se
separación de pines 5 mm www.elektor-electronics.co.uk convierte en un fichero binario (en formato
K10-K14 = regleta de 3 vías para PCB, Hex de Intel). Ahora, llegados a este punto,
separación de pines 7,5 mm tenemos un problema.

¿Cómo podemos
introducir el
programa
en el PIC?

Los microcontroladores PIC


son muy populares porque
además de otras cosas son
muy fáciles de programar.
Debido a que los PICs se
pueden programar por la
interface serie de forma
muy fácil, basta con un
sencillo cable. Incluso si
construimos un programa-
010059-1
dor, no nos supondría
(C) ELEKTOR mucho hardware: por
ejemplo, un clásico sistema
de desarrollo de ‘bajo
coste’. La pequeña placa
de evaluación descrita aquí
proporciona una tensión de
alimentación estabilizada y
un oscilador de reloj con-
mutable variable, con una
zona de prototipos donde,
por ejemplo, se pueden
colocar los LEDs para
observar los niveles de los
puertos de salida.

Elektor 35
MICROCONTROLADOR
Puede encontrar una placa de programador
alternativa completamente documentada en
[3]. El programa necesario (DOS) se halla en la
Referencias y enlaces
página web.
[1] Microchip: www. microchip. com
Construcción e instalación [2] Hojas de características disponibles (por ejemplo) a
www.sharpmeg.com/products/opto/pdf/pc847x.pdf
La construcción de la placa del circuito del Pico [3] Adaptador Madsen programado www.jdm.homepage.dk/newpic.htm
PLC se muestra en la Figura 2 y no debería [4] MPLAB: dispositivo de desarrollo para microcontroladores Microchip
presentar problemas. Los componentes se colo- www.microchip.com/1000/pline/tools/picmicro/devenv/mplabi/index.htm
can sólo en una cara y ninguno de ellos es de [5] Baranov, Pavel: Compilador C2C
montaje superficial. Si en el circuito no se
www.geocities.com/SiliconValley/Network/3656/c2c/c.html
requieren los relés se pueden retirar los que hay
entre K15 y K16 y el PLC, y de esta forma el [6] B Knudsen Data: CC5X www.bknd.com/cc5x/index.shtml
tamaño de la placa será mucho menor, así
como la caja que lo contiene. También es posi- prueba que encontramos en el Servi- Hay muchas aplicaciones para el
ble fijar parte de la placa del circuito que lleva cio de Lectores. El programa se creó Pico PLC. El uso original fue contro-
relés en otra parte de la caja, conectándolo al usando las herramientas gratuitas de lar el mecanismo para atacar un más-
PLC a través de K15 y K16. Si colocamos los CC5X y MPLAB, que crea una clase til de antena de radio aficionado.
relés debemos de tomar precauciones de segu- de display de luz en movimiento Otras posibilidades incluyen el con-
ridad para dispositivos de clase 2 en las salidas. desde D20 a D24 y vuelve (asumiendo trol de contraventanas o persianas de
Los ICs se deberían colocar, por supuesto, en que el hardware funciona correcta- ventana, mando para control de calor
zócalos y usaremos componentes de buena cali- mente). Después D24 comienza a par- o automatismos para juguetes mecá-
dad. Para evitar malas conexiones, emplearemos padear, y se presenta en display las nicos y modelos.
bornas de terminales para las entradas y salidas. entradas. Mientras D24 se apaga de (010059)
No es necesario un software especial para el D20 a D23 se muestra el estado de las
Pico PLC, basta un pequeño programa de cuatro entradas de mayor peso.

G U Í A P R Á C T I C A D E M O N T A J E
En esta publicación no se suministran componentes, sin embargo, se diseñan verificaremos que todos están colocados en su posición correcta,
las PCBs, carátulas del panel frontal y el software del montaje (que no siempre observando la polaridad de los mismos. También se deben revisar las
lleva). En cuanto a los componentes, se detallan todos, e incluso en muchos soldaduras y los puentes que a menudo se olvidan.
de ellos, ante un posible problema de suministro, se dan posibles alternativas. Si los niveles de tensión se han dado en el esquema del circuito, debe-
mos comprobar que todos están dentro de una desviación de ±10 % con
Para distinguir valores grandes y pequeños en los componentes se uti- respecto a los valores marcados.
liza la siguiente nomenclatura de prefijos:
Cada cierto tiempo publicamos correcciones a posibles errores y además
E (exa) = 1018 a (atto) = 10–18
P (peta) = 1015 f (femto) = 10–15
todos nuestros lectores disponen de un servicio donde pueden enviar sus
T (tera) = 1012 p (pico) = 10–12 comentarios, que siempre serán estudiados con detalle.
G (giga) = 109 n (nano) = 10–9
M (mega) = 106 µ (micro) = 10–6
El valor de una resistencia se indica con el siguiente código de colores:
k (kilo) = 103 m (milli) = 10–3
h (hecto) = 102 c (centi) = 10–2
da (deca) = 101 d (deci) = 10–1

En algunos esquemas de circuitos, para evitar confusión, y contrariando


las normativas IEC y las recomendaciones BS, el valor de los componentes
se da sustituyendo el prefijo por un punto decimal. Por ejemplo:
3k9 = 3.9 kΩ 4µ7 = 4.7 µF color primer dígito segundo dígito factor de multiplicación tolerancia

A menos que se indique lo contrario, la tolerancia de las resistencias es del ±5 %


Negro – 0 – –
y su potencia de 1⁄3–1⁄2 W. La tensión de trabajo de los condensadores es ≥50 V.
Marrón 1 1 x101 ±1%
Montaje de una placa de circuito impreso. Siempre comenzaremos Rojo 2 2 x102 ±2%
por los componentes pasivos más pequeños, esto es, puentes con cables, Naranja 3 3 x103 –
resistencias y pequeños condensadores, después seguirán los zócalos, relés Amarillo 4 4 x104 –
y condensadores electrolíticos y de gran valor y conectores. Los circuitos Verde 5 5 x105 ±0,5%
integrados, al ser muy delicados, los dejaremos para el final. Azul 6 6 x106 –
Soldadura. Utilizaremos un soldador de estaño de 15-30 W con una punta Violeta 7 7 – –
fina y estaño con núcleo de resina (60/40). Insertaremos los terminales de los Gris 8 8 – –
componentes en la placa, sujetaremos ligeramente, cortaremos lo que sobra Blanco 9 9 – –
de los terminales y soldaremos: esperaremos 1-2 s para que el estaño se Oro – – x10–1 ±5%
agarre bien y se solidifique. Debemos procurar en todo momento no Plata – – x10–2 ±10%
sobrecalentar algunos componentes, en especial semiconductores y sobre Ninguno – – – ±20%
todo circuitos integrados. Para desoldar utilizaremos un chupón metálico o
un desoldador especial de malla.
Ejemplos:
Buscando fallos. Si el circuito no funciona, comprobaremos, uno a uno, que Marrón – rojo – marrón – oro = 120 K, 5 %
todos los componentes insertados son los que aparecen en la lista, después Amarillo – violeta – naranja – oro = 47 K, 5 %

36 Elektor
INTERÉSGENERAL

Controlador de
luces de discoteca
de 8 canales
de hasta 500 W por canal
Diseñado por Tom Varley

La unidad se ha diseñado como un controlador de luces, pero puede


usarse para excitar ocho juegos de luces para árboles de Navidad, letreros
luminosos de tiendas, etc. Se activa a sí mismo por sonido. Los efectos
son: apagón, ola, vúmetro y secuencia configurada. Los únicos controles
necesarios son tres pulsadores. Se basa en el popular dispositivo OTP
87C750 de Philips y fue diseñado para evitar complicaciones con un DJ o
pequeña banda para excitar hasta 8 focos PAR-56 de 500 W.

Características
principales
– Efectos: Ola, Apagón, Secuencia
programada, Detección de ritmo,
Vúmetro, Desplazamiento rápido.
– Control por microprocesador
– Detector de golpe con micrófono
incorporado y sensibilidad ajustable.
– Controles para 8 etapas de luces
de hasta 500 W cada una.
– Aislamiento eléctrico entre los
drivers de lámparas y circuito
microcontrolador.
– Ensamblaje de placa simple.
– Control a través de tres botones.

Este proyecto debería satisfacer al menos a caros, de ahí nuestro interés en esta Lectores), este proyecto ha sido pro-
todos aquellos que nos han escrito como afi- unidad. Al igual que con el resto de bado por nuestro personal de labora-
cionados de las luces con efecto discoteca. proyectos que disponen de placas de torio en cooperación con el autor, que
Aparentemente los equipos con elevadas circuito impreso (los cuales pueden probó el circuito en toda la banda de
especificaciones son escasos, y bastante conseguirse en nuestro Servicio de trabajo.

38 Elektor
INTERÉSGENERAL
230V

2 K10
IC5
R7 R15
1 MOC3042
IC3.A 560 Ω 560 Ω K2
3 1 6

TRI1

K1
D1 D2 IC13
ZC
7805 +5V
9V 2 4 R16 LOAD 8
100mA
560 Ω
D4 D3 13 IC6
14 R8 R17
MOC3042
C1 C2 C3 IC3.D 560 Ω 560 Ω K3
12 1 6
4x
1N4001 1000µ 100n 100µ TRI2
25V 16V

ZC

2 4 R18 LOAD 7
560 Ω
9 IC7
R9 R19
8 MOC3042
IC3.C 560 Ω 560 Ω K4
10 1 6
R1 R5
C7 C5 TRI3
2k2

10k

100n 10µ
C6 ZC
16V

R3 100n 2 4
MIC1 R20 LOAD 6
5V
10M 560 Ω
24
6 IC8
0V3 IC1 0V3
7 R10 R21
8 MOC3042
C4 R2 5 T1 P0.0 IC3.B 560 Ω 560 Ω
2 7 7 5 1 6 K5
1k R4 P0.1 20
2V4

6 6 P1.7 TRI4
100n 10k P0.2 19
IC2 P1.6
3 8
9 18
4 RESET P1.5
P1 BC547 ZC
0V3 1 17
C8 21 P1.4
10k CA3140 P3.7 16
22 P1.3 2 4 R22 LOAD 5
D5 P3.6 15
10µ 16V 23
87C750 P1.2 560 Ω
P3.5 14
1 87C751 P1.1 2
P3.4 IC9
BAT85 13 R11 R23
2 P1.0 1 MOC3042
P3.3 IC4.A 560 Ω 560 Ω
3 3 1 6 K6
P3.2
4 TRI5
P3.1
5
P3.0
ZC
X1 X2
S3 S2 S1 R6 12 11 10
X1 2 4 R24 LOAD 4
8k2

560 Ω
13 IC10
C12 C11 14 R12 R25
MOC3042
IC4.D 560 Ω 560 Ω K7
12 1 6
22p 4MHz 22p
TRI6

S1 = FLOOD CONTROL ZC
S2 = PROGRAM CONTROL
S3 = STROBE VU 2 4 R26 LOAD 3
560 Ω
9 IC11
8 R13 R27
MOC3042
IC4.C 560 Ω 560 Ω
+5V 10 1 6 K8

MIC1 TRI7

4 C10 4 C9
IC3 = LM2902 ZC
IC3 IC4
100n 100n
IC4 = LM2902
11 11 2 4 R28 LOAD 2
560 Ω
6 IC12
7 R14 R29
MOC3042
IC4.B 560 Ω 560 Ω
5 1 6 K9
TRI1 ... TRI8 = BTA08-600C
TRI8

ZC

2 4 R30 LOAD 1
560 Ω
010131 - 11

Figura 1. Esquema del circuito del controlador de luces de discoteca de 8 canales.

Descripción del circuito una placa de circuito impreso a sim- Debido a que el circuito requerido res-
ple cara. ponde a un estímulo acústico se requiere un
El esquema que se muestra en la El corazón del diseño es un micro- preamplificador para informar al microcontro-
Figura 1 combina las secciones ana- controlador tipo 87C750 ó 87C751, lador si dicha señal está presente. Para este
lógica y digital en un diagrama sen- que se ha programado para controlar propósito se utiliza una cápsula microfónica
cillo. Esto es intencional, porque en el estado de los interruptores de con- electret miniatura con una impedancia DC de
realidad esos subcircuitos están con- trol S1, S2 y S3, además de la salida 2 K. La sensibilidad del micrófono se ajusta
tenidos en uno sencillo, realizado en del comparador IC1. usando el potenciómetro P1, cuyo camino DC

Elektor 39
INTERÉSGENERAL
también proporciona la tensión de polariza-
ción de la cápsula. La ganancia del preampli- Initialise ports
for
ficador es unas pocas miles de veces, porque inputs & outputs
ni la distorsión ni la respuesta de alta fre-
cuencia son importantes aquí. Debido a que
el amplificador operacional sólo amplificará
señales alternas, su ganancia en continua es Test lamps
&
unitaria (1). Como consecuencia de ello, las go to standby
entradas del amplificador y la salida tienen un
nivel de continua (DC) igual a la tensión
directa de caída del diodo Schottky D5, es
decir 0,3 V. Usando en esta posición un diodo Look at
normal de silicio tendríamos un nivel de unos switches
0,6 V, que es suficiente para que T1 conduzca
al mismo tiempo, lo cual no es deseable.
A = Floodlight < 1/2 s
Cuando no se detecta sonido, el colector de T1 B = Pattern run < 1/2 s
debería tener unos +5 V. Resumiendo, una A B C
D E F C = VU mode < 1/2 s
señal acústica de suficiente nivel captada por D = Blackout < 1/2 s
el micrófono se convierte en una señal cua- Pattern selection via E = Pattern + beat detect < 1/2 s
switches
drada cuyo nivel se puede detectar y procesar
010131- 12
por un microcontrolador, usando la línea del
puerto P0.0.
Se puede usar casi cualquier tipo de pul- Figura 2. Diagrama de flujo de la rutina de lectura del pulsador.
sador, ya que hay un algoritmo de salto que
forma parte del software que corre dentro
del micro. debería incluir condensadores de placa. Se recomienda arranque en
Las líneas de los puertos P1.0 a P1.7 del desacoplo de 0,1 µF. Gracias al frío, sobre todo para dispositivos de
micro excitan los amplificadores operaciona- puente rectificador, la alimentación arquitectura 8051.
les LM2902 (IC3-IC4) que hacen de buffer. trabaja de forma independiente de la
Cada una de las salidas de estos buffer excita polaridad de salida del adaptador. Software y operación
un LED que hay dentro del opto-triac (IC5- El microcontrolador funciona a 4
IC12). El micro necesita buffers para reducir la MHz usando un cristal de cuarzo, El programa que hay dentro del
carga de las líneas de los puertos. Los drivers X1, y el par de pequeños condensa- 87C750 (o ‘751) está escrito en
del opto-triac son un método sencillo de pro- dores cerámicos C11-C12. C5 y R6 ensamblador y tiene alrededor de
porcionar un paso por cero (ZC) para reducir son los componentes de la reiniciali- 150 líneas de código, el resto del
ruido de conmutación cuando proporciona zación que mantienen el pin de área de la memoria de programa
unos aislamientos eléctricos de unos pocos RESET a nivel alto durante un (1K para el 87C750) se usa como
KV entre los circuitos de ‘lámpara’ y la elec- mínimo de dos ciclos de máquina tabla que determina simplemente
trónica. Los triacs Tri1-Tri8 se pueden cablear cuando se aplica la alimentación a la qué lámpara está encendida. Des-
a través de regletas de PCB a dos conectores
Bulgin u ocho conectores individuales de red
para la conexión de las lámparas. Ampliare- LISTADO DE COMPONENTES IC1 = CA3140, CA3130
IC2 = 87C750 or 87C751,
mos un poco este tema. Resistencias:
El autor ha experimentado con diferentes programado, código de pedido
R1 = 2k2
valores de polarización del driver del triac. R2 = 1k 010131-41
En la práctica, con 560 Ω va bien y además al R3 = 10M IC3,IC4 = LM2902
ser del mismo valor que las resistencias de R4,R5 = 10k IC5-IC12 = MOC3042
polarización de LED se reduce el número de R6 = 8k2
IC13 = 7805
R7-R30 = 560Ω
valores resistivos. El MOC3042 puede susti- P1 = 10k potenciómetro T1 = BC547
tuirse por su modelo superior MOC3043, sin
embargo, el modelo MOC3041 no es ade- Condensadores: Varios:
cuado para esta aplicación. Los triacs tam- C1 = 1000µF 25V radial
C2,C4,C10 = 100nF K1-K10 = regleta de 2 vías para PCB,
poco son críticos y virtualmente no se puede
C6,C7,C9 = 100nF, separación de separación de pines 7.5 mm
usar nada que no sea capaz de conmutar 400
pines 7.5 mm MIC1 = cápsula microfónica electret
V sobre 4 A. C3 = 100µF 16V radial
La disposición estándar de la fuente de ali- C5, C8 = 10µF 16V radial S1, S2, S3 = pulsadores, 1 contacto
mentación puede ser además de un adapta- C11,C12 = 22pF cerámico simple
dor de red (9 VAC o 9 VDC, 300 mA) o un TRI1-TRI8 = BTA08-600C (BCR6
transformador, un puente rectificador (D1-D4) Semiconductores: AM 8)
D1-D4 = 1N4001
y un regulador de tensión fija (IC13), un buen X1 = cristal de cuarzo 4 MHz
D5 = diodo BAT43 o BAT85
filtro de paso/bajo para eliminar ruidos y tran- (Schottky) PCB, código de pedido 010131-1
sitorios. La tensión de alimentación siempre

40 Elektor
INTERÉSGENERAL
I K2 K3 K4 K5 K6 K7 K8 K9
H2
H3

K10
ROTKELE )C(
1-131010

TRI1 R16 TRI2 TRI3 TRI4 TRI5 TRI6 TRI7 TRI8

R18

R20

R22

R24

R26

R28

R30
R15 R17 R19 R21 R23 R25 R27 R29

+ IC5 IC6 IC7 IC8 IC9 IC10 IC11 IC12


010131-1

R8 R9 C6 R12 R13
P1

R11
R10
R7

R14

D2
D3
IC3 IC2 IC4

D1

D4
C10

C12 C9
S1 C3 C2
K1
C4 IC1 C1
R1
R2
R3

R5
R4

S2
D5

R6
C5

H4
H1

C8 S3 IC13
C7 T1 X1 C11
(C) ELEKTOR
010131-1

Figura 3. Cara de pistas y componentes de la PCB a simple cara diseñada para la unidad (placa disponible en el Servicio de Lectores).

Figura 4. Éste es el aspecto que presenta nuestra placa de prueba. Nótese que los tres pulsadores (no mostrados) se conectan a los
pines a través de pines grapinados.

Elektor 41
INTERÉSGENERAL
pués de que se conecta la alimentación a la Los conectores de salida del triac Sin luces conectadas y el micro-
placa el micro se inicializa, por lo que el K1-K8 pueden cablear hasta 8 controlador sin colocar en su
puerto 1 es brevemente inhibido, excitando conectores de red separados permi- zócalo, aplicaremos alimentación a
todas las luces secuencialmente para dar tiendo que cada etapa de luces se través del adaptador de red. Com-
una indicación visual de la alimentación. pueda alimentar a través de su pro- probaremos que hay 5 V en la
Después de ejecutar la inhabilitación, el dis- pio cable. salida del regulador, si no compro-
positivo se pone en bucle y comienza a che- Puede resultarnos más práctico baremos que los diodos D1-D4
quear los interruptores. Cada interruptor utilizar dos conectores ‘Bulgin’ de 8 están colocados en la posición ade-
tiene dos tipos de funciones: la primera se vías, una para cada grupo de cuatro cuada y que les llega la tensión AC
alcanza si no accionamos el pulsador lámparas. La forma más fácil de o DC correcta.
durante menos de medio segundo, son: A, cablear el conector IEC y el Bulgin Una vez tengamos 5 V podemos
B y C; si no se acciona el pulsador durante es grapinar alfileres desde los pines configurar la sensibilidad del micró-
más de medio segundo se accede a la de la PCB y tratar de pasarlos a tra- fono. La habitación necesita estar
segunda capa de funciones. Deberíamos vés de los agujeros de la caja. Una libre de ruido de fondo. Usando un
considerar que si mantenemos el pulsador vez que la PCB se ha asegurado pequeño destornillador, rotaremos P1
sin accionar estaremos en un bucle alrede- dentro de la caja, podemos soldar los completamente en sentido horario.
dor de las funciones superior e inferior del conectores. Si usamos una caja de Conectaremos una punta del osci-
interruptor. El carácter de la Figura 2 es acero nos aseguraremos que todas loscopio o polímetro digital al pin 6
indicar qué función se puede seleccionar. las partes de la caja tienen tierra y de IC1. Rotaremos lentamente el
Una vez seleccionado, el controlador operará que el pin de tierra del conector IEC mando y lo detendremos cuando el
en este modo hasta que se seleccione una también la tiene. pin 6 pase a nivel bajo. Giraremos de
nueva función. Si usamos conectores Bulgin, nuevo el mando en sentido horario y
El listado del código ensamblador que debe deberíamos cablearlos de la siguiente lo detendremos cuando la salida
llevar IC2 no está disponible en nuestra forma: cambie a nivel alto. Ahora daremos
página web, ni en el disco, sin embargo, exis- una palmada o silbaremos y la salida
ten circuitos integrados programados dispo- Pin 1 Tierra de protección debería cambiar brevemente cuando
nibles en el Servicio de Lectores con el código Pin 2 Foco 4 (u 8) el micrófono capte el sonido. Move-
de pedido 010131-41. Pin 3 Foco 3 (o 7) remos un poco el mando de P1 hasta
Pin 4 Foco 2 (o 6) que la conmutación se produzca de
Ensamblaje Pin 5 Foco 1 (o 5) forma fiable y al nivel sonoro dese-
Pin 6 n.c. ado. Debemos recordar que los nive-
La electrónica encaja en una PCB de simple Pin 7 Neutro les de ruido ambiente en una disco-
cara, cuyo trazado de pistas se puede ver en Pin 8 Neutro teca son muy elevados.
la Figura 3. No debe presentar ningún tipo Quitaremos la alimentación e ins-
de problema si trabajamos con cuidado, Cualquiera que sea el método talaremos el microcontrolador. Nos
tomándonos nuestro tiempo y comprobando para conectar las etapas de luces al aseguraremos que la PCB está bien
las distintas partes de la lista de componentes circuito, tendremos mucho cui- instalada en la caja y que las etapas
y serigrafía de la placa. En la Figura 4 pode- dado y usaremos los materiales de luces están conectadas a las
mos ver el prototipo una vez se ha compro- adecuados para eliminar cual- regletas K1-K8 de la placa. Si no
bado. Hay nueve puentes de cable sobre la quier riesgo de tocar la tensión tenemos un juego de luces a mano
placa, sin olvidarnos ninguno para obtener un de red. podemos cablear hasta 8 bombillas
buen funcionamiento. de colores u 8 porta-cebadores. Des-
El micrófono electret se conecta a la PCB Comprobación. pués, acercaremos la caja y conec-
a través de un pequeño trozo de cable. taremos los 220 V de la red a K10.
Dependiendo de cómo sellemos la caja y de Precauciones Enchufaremos en el adaptador de
cómo de flexibles sean sus paredes deter- red y comprobaremos las funciones
minaremos la sensibilidad de graves del Desconectaremos de K10 la ten- del interruptor. Si el interruptor 1 no
micrófono. Si el aire puede pasar a través sión de red (220 V) antes de se pulsa se inicia un ciclo de
de la caja con facilidad entonces el micró- hacer cualquier medida o com- secuencia (binaria) continua de la
fono será sensible a graves (bajos). La expe- probación en el circuito. Cuando siguiente forma:
rimentación con el acoplamiento mecánico hay tensión de 220 V en K10, en
del micrófono a la PCB y la pared de la caja un terminal de los triacs está *******
cambiará las características de la respuesta conectada la FASE. No importa *- - - - - - *
acústica. La elección de la cápsula micro- si el circuito está en una caja de --------
fónica electret no es crítica, podemos plástico (ABS) o una caja metá-
incluso escoger el más barato que encon- lica, en cualquiera de los casos (010131-1)
tremos y funcionará bien. Normalmente el hay que colocar un terminal de
micrófono tiene sus dos terminales en la Tierra (PE). La distancia de ais-
cara inferior. El terminal conectado a la lamiento de la placa del circuito
parte metálica es la conexión de negativo (- es menor de 6 mm, por lo que el
) (vea también el que hay en el esquema del equipo se puede catalogar sólo
circuito). en Clase 1.

42 Elektor
INTERÉSGENERAL

Agrupación
de Diodos LEDS
Bloques de matrices para proyectos de iluminación
Por K.-J. Thiesler

La utilidad de los diodos LEDs de alta intensidad es tal que seguro que
nos proporcionará un nuevo punto de vista en la iluminación de la
habitación. En las indicaciones de tráfico y otros equipos de señalización,
estos pequeños dispositivos ahorran una gran cantidad de energía y
reducen el coste de mantenimiento debido a su larga expectativa de vida,
comparada con la de las lámparas convencionales.
proporciona claramente una res-
puesta más rápida que la producida
por una bombilla convencional.
Mientras que un diodo LED tiene un
tiempo de respuesta próximo a los
100 ns, una lámpara en frío necesita
calentarse previamente durante de
100 a 300 ms. Durante este período,
esta bombilla requiere picos de
corriente de hasta 50 veces su valor
nominal de funcionamiento.
Por supuesto, que los diodos LED
también tienen sus desventajas. Las
bombillas emiten una gran cantidad
de luz infrarroja que permite que se
mantengan en funcionamiento a
altas temperaturas. Los diodos
LEDs, por su parte, son semicon-
ductores pasivos con un espectro
de temperatura asociado. Su alta
densidad de potencia hace que la
máxima temperatura de funciona-
miento de 125° C se alcance a una
Los fabricantes de los modernos diodos LEDs a impresionar. Además, la óptica temperatura ambiente de unos 85°
han llevado la intensidad de luz a niveles está integrada en un encapsulado C. Dicha temperatura se logra fácil-
donde estos dispositivos pueden usarse con que asegura que la luz emitida cum- mente, por ejemplo, dentro de un
propósitos de iluminación, a costa de que los ple completamente con los requeri- dispositivos de luz en una brillante
precios sean relativamente elevados. Sin mientos. Una ventaja adicional es la y soleada tarde de verano. Cuando
embargo, los diodos LED ofrecen algunas gran velocidad de respuestas en el la temperatura de este componente
ventajas claras frente a las fuentes de luz eléc- encendido y apagado de un diodo excede los 125° C, el diodo LED deja
trica tradicional. Por un lado, su expectativa LED. Por ejemplo, en un automóvil, de funcionar tan pronto como
de vida, que es de unas 100.000 horas, llega la luz generada por un diodo LED comienza iluminarse. Esto sucede

44 Elektor
INTERÉSGENERAL
+12V8 normalmente a la corriente directa una solución muy práctica que también fun-
máxima permisible, la cual provoca ciona con diodos LEDs fabricados al límite de
que la temperatura del componente las tolerancias que, por ejemplo, tengan una
aumente mucho más rápido. Como caída de tensión directa superior a los 150
la capacidad de trabajar con poten- mV entre un diodo LED individual y la matriz
cia de un semiconductor está rela- de diodos. Después de todo, un pequeño
cionada inversamente con la tem- aumento en la corriente directa de los diodos
peratura ambiente, las condiciones se acopla para proporcionar el aumento de la
de los peores casos se alcanzan tensión directa. En esta matriz, algunos dio-
fácilmente en circuitos sencillos sin dos LEDs tienen un valor de tolerancia supe-
medidas de compensación. El resul- rior, lo que les hará lucir con menor intensi-
203 mA
tado: una sobrecarga en el diodo dad, al contrario que sucede con los que tie-
LED que hace que no se encienda y nen una caída de tensión directa más baja.
22Ω

se funda, quedando como circuito Si el circuito está diseñado para una


abierto. corriente de 50 mA por diodo LED, la
020292 - 11
En el proceso de fabricación de corriente directa de un diodo LED individual
Figura 1. Agrupación de diodos LEDs los diodos LEDs, las desviaciones en estará comprendida entre los 40 mA para los
que utiliza tan sólo una resistencia serie. las expectaciones eléctricas es tal diodos LEDs con la tensión más elevada, y
que los dispositivos se agrupan en los 62 mA para diodos LEDs con la caída de
+12V8 bloques con una baja tolerancia. tensión directa más baja.
Para un único indicador LED, utili- Las ventajas y desventajas del circuito
zado en una configuración estándar mostrado en la Figura 1 se pueden resumir
de circuito, se aceptan perfecta- de la siguiente manera: cuando un diodo LED
mente algunas desviaciones. Sin falla, los otros continúan funcionando, aunque
22Ω

22Ω

22Ω

22Ω

embargo, no sucede lo mismo en el con una expectativa de vida más corta.


caso de diodos LEDs que están El diseño es sencillo. Solamente se
agrupados en grupos y colocados requiere una resistencia serie. Los diodos
22Ω

22Ω

22Ω

22Ω

unos junto a otros. En este tipo de LEDs comienza a conectarse en serie y en


agrupamientos, los diodos LEDs paralelo, con lo que el diseño de la placa de
individuales también se conectan circuito impreso permanece con una buena
para formar subgrupos, los cuales formación, es decir, sin estar los componen-
22Ω

22Ω

22Ω

22Ω

crean una “competencia” eléctrica tes desordenados.


entre ellos. No es raro encontrar una La detección de fallo solamente tendrá que
tensión directa en un diodo LEDs de hacerse en el caso de que el conjunto de dio-
150 mV. Conseguir una división y dos LEDs falle. En la industria del automóvil,
22Ω

22Ω

22Ω

22Ω

clasificación más fina no es una la legislación dictamina que la indicación de


203 mA solución económica. Si las toleran- fallo debe ir en la dirección de los indicadores,
020292 - 12
cias de las prestaciones ópticas son lo que significa que no puede realizarse utili-
normales, por ejemplo, en el diseño zando este tipo de conjunto de diodos LEDs,
Figura 2. En este ejemplo, cada diodo de un visualizador LED de varios ya que la resistencia serie no puede usarse
LEDs tiene su propia resistencia de dígitos, los diodos LEDs deben como un sensor.
limitación de corriente. seleccionarse para controlar su En la Figura 2, los diodos LEDs están
luminosidad, ya sea a través de configurados en un tipo de matriz diferente,
+12V8 nuestros propios ojos o de instru- con unas resistencias serie individuales
mentos ópticos. Para un propósito para cada diodo LED. Obviamente, la placa
meramente de iluminación, no es de circuito impreso resultante será mayor y
necesario realizar tal proceso de estará más densamente poblada. Las resis-
selección, debido a la gran cantidad tencias serie para cada diodo LED la hacen
de tiempo necesario. menos dependiente de las tolerancias de los
otros diodos LEDs. Además, el “ancho de
Tolerancias de corriente banda” del consumo de corriente se ha
estrechado en la parte baja: entre 49 mA
En general, si queremos agrupar una (min.) y los 53 mA (máx.). Las resistencias
gran cantidad de diodos LEDs exis- serie permiten que la corriente a través de
22Ω

22Ω

22Ω

22Ω

ten tres variantes de diseño básicas, cada diodo LED pueda dimensionarse con
que pueden usarse cuando se desea suficiente precisión. Esta variante es la
203 mA emplearlos como dispositivos de ilu- mejor para la iluminación de una habitación,
minación. ya que el fallo de los diodos LEDs puede
020292 - 13
La Figura 1 nos muestra las observarse de forma independiente y su
Figura 3. Ejemplo de cuatro tiras de variantes de un conjunto de diodos sustitución se realizará en función del coste
diodos LEDs, cada una de ellas con LEDs alimentados a través de una y del esfuerzo. Las ventajas y desventajas de
una resistencia serie. única resistencia serie. Se trata de este circuito son las siguientes:

Elektor 45
INTERÉSGENERAL
Tabla 1
Diodo LED Azul TLHB4401 Valores Máximos
V
Tensión Inversa UR 5 V
5.5 Corriente de realimentación (DC) at UF = 4.0 V IF 20 mA
max.
5.0 Corriente de realimentación, at UF = 5.2 V,
10% encendido, tp < 10 µs TA < 60 °C IFSM 100 mA
4.5 min.
Disipación de potencia PV 100 mW
4.0
Temperatura de funcionamiento TA –40 to +100 °C
nominal
3.5 value Resistencia térmica RthJA 400 K/W
3.0 Diodo LED Azul TLHB4401 Valores Nominales
Luminosidad IV 32 mcd
10 20 30 40 50 60 70 80
con IV = 100 % ±10 grados
mA 020292- 14 Ancho del haz
con IV = 50 % ±30 grados
Longitud de onda con máxima IV 430 nm

V
dos obtenidos de esta constelación Cuando un diodo LED falla, lo hace
3.9
de diodos serán semejantes a los del la columna completa. Sin embargo,
typ.
3.7 circuito matriz con resistencias indi- las otras columnas continúan traba-
viduales para cada diodo LED. Sin jando normalmente y la expectativa
3.5 embargo, el circuito final y el dia- de vida de los diodos LEDs que las
max.
3.3 nominal
grama de pistas de la placa de cir- componen no se ven afectadas.
value cuito impreso será mucho más sen- Si el conjunto de diodos se utiliza
min.
3.1
typ.
cillo que el circuito de la Figura 2. como un indicador de dirección, para
tolerance La conexión serie en varias filas tiene la luz de freno o la luz de inversión de
2.9
una desventaja que será tratada más sentido, la detección de fallo es rela-
adelante. En un montaje prototipo de tivamente sencilla, ya que pueden
5 10 15 20 25 30 35 40 este circuito se midió una corriente utilizarse unas pocas resistencias
mA 020292- 15
mínima y máxima de 47 mA y 53 serie como sensores de corriente.
Figura 4. Datos de diseño de diodos LEDs mA, respectivamente. En conclusión: para todos los dise-
azules y blancos. Las distintas filas del circuito no ños es importante tener en cuenta
tienen la posibilidad de distribución qué sucede cuando un diodo LED
de corriente, ya que no hay conexio- falla. En el caso de que la sobrecarga
1. Cuando un diodo LED falla, los otros con- nes de diodos LED en paralelo. Si falla de corriente coincida con un exceso
tinúan su funcionamiento sin incrementar su un diodo LED, falla la línea completa de la temperatura del componente, el
riesgo de ser destruidos. La luminosidad total de diodos (una desventaja obvia para diodo LED afectado se dañará, com-
alcanzada por el conjunto de diodos apenas un sistema de iluminación de habita- portándose como un circuito abierto.
sufrirá. ciones, ya que la intensidad de luz Esto tiene consecuencias directas en
resultante del fallo caerá considera- las matrices de circuitos que se
2. Puesto que la detección del fallo de un blemente). Además, no tiene sentido muestran en las Figuras 1 y 2, ya
diodo LED individual no es muy compleja, se sustituir la línea completa de diodos que los diodos LEDs “adyacentes” se
puede implementar una función que utilice LEDs porque uno de ellos falle. ven forzados a trabajar en condicio-
detección de corriente y de tensión en el dis- En el caso de la industria del nes más delicadas. En la fila que con-
positivo regulador de alimentación. automóvil, las correspondientes tiene el diodo LED con fallo, los otros
soluciones presentan comporta- diodos LEDs colocados en paralelo se
Por su parte, la Figura 3 nos muestra una mientos aún más diferentes. El fallo ven forzados a trabajar con la
configuración de varios diodos LEDs coloca- de una columna de diodos LED no corriente adicional correspondiente al
dos en serie, con una resistencias serie para es preocupante, ya que la funciona- diodo LED estropeado. Las pocas filas
cada conjunto. No hay conexiones que fuer- lidad del indicador de aviso está y columnas que componen la matriz
cen a que exista una tensión definida que esté aún garantizada, incluso si se de diodos agravan el efecto del incre-
por encima de cada fila de diodos LED. Las reduce la intensidad de luz. Ade- mento de corriente. Esto es aceptable
cuatro columnas de diodos LED funcionan de más, el fallo de una de ellas no cuando los diodos LEDs están traba-
manera independiente. Como las diferencias afecta a la expectativa de vida de jando por debajo de su especificación
en las tensiones directas permanecen relati- los diodos LEDs de las otras colum- de corriente máxima, lo que a la vez
vamente pequeñas, a la vez que tienen la nas. Se puede realizar la detección reduce las posibilidades de fallo. Por
misma corriente directa (comparada con las de único fallo utilizando unas resis- otro lado, el número de columnas de
diferentes corrientes directas que tendríamos tencias serie que trabajen como diodos LEDs es tal que la corriente
ante una misma tensión directa), los resulta- sensores de corriente. desviada raramente representa una

46 Elektor
INTERÉSGENERAL
variará a través de dicho diodo incluso en un
rango mayor. Esta variación de la tensión
directa produce variaciones considerables de
la variación de brillo entre diferentes diodos
LEDs individuales.
Para trabajar con varios diodos LEDs blan-
cos o con uno sólo, existen en el mercado dife-
rentes circuitos integrados reguladores como
el LM 2791/2, el MAX 1698, el MAX 1848, el
MAX 1912, el LT 1618, el LT 1932, el LTC 3200,
el LTC 3400 y el LM 2585T-ADJ. Su función en
este circuito era la de un regulador amplifica-
dor en el control de un conjunto de diodos
LEDS de 10 elementos. Un circuito integrado
particularmente interesante es el LT 1618 de
la casa Linear Technology, que ofrece de
manera simultánea corriente y tensión de rea-
limentación, además de la posibilidad de una
entrada de desactivación para el control de
carga extra a los diodos LEDs indivi- tiempo en el que dicho diodo se man- intensidad del LED. Además, el LT 1618 está
duales, sobre todo si la comparamos tenga encendido debe reducirse con- alojado en un encapsulado MSOP-10 que aho-
con las consecuencias de las toleran- siderablemente. Esto permite que el rra espacio y dispone de la capacidad de con-
cias de la tensión de 150 mV. diodo LED pueda enfriarse durante el mutación a una frecuencia de 1,5 MHz, lo que
período de desconexión (que debe reduce considerablemente el volumen del
Incremento ser relativamente largo). Algunos cir- componente comparado con el venerable LM
cuitos integrados reguladores de ten- 2585T-ADJ.
de la iluminación sión DC disponen de una entrada de Muchos de estos reguladores pueden
desconexión a la que se puede apli- emplearse en modo sensor de corriente, lo que
La Tabla 1 nos muestra algunos car una señal PWM para crear un tiene el efecto positivo de una luminosidad
datos de diseños típicos para un control de brillo. Los aspectos más uniforme. Después de todo, el control de las
diodo LED azul. Como deducción importantes a tener en cuenta son la líneas de corriente es mucho más preciso y
podemos decir que dicha tabla nos temperatura máxima de funciona- tiene en cuenta la tensión directa específica
indica que el diodo LED se ilumina miento del diodo LED y su máxima de cada diodo LED de 3,15 o de 3,85 V.
al 100 % de su intensidad con una disipación de potencia. Aunque las Sin embargo, los gráficos de las líneas de
corriente continua de 20 mA. La hojas de características indican un corriente no tienen en cuenta la máxima
intensidad del diodo LED podría valor de + 100° C como un valor pura- carga de potencia de un diodo LED. Los dio-
aumentarse si encontramos algun mente físico, se debe trabajar con un dos LEDs solamente pueden trabajar con
modo de incrementar su corriente. una temperatura de 60° C como valor pulsos utilizando una corriente directa
Esto se puede hacer, por ejemplo, más realista, de manera que siempre mayor de 25 mA (valor nominal), cuando se
en controles remotos, para incre- estemos trabajando en una zona de cumple con las siguientes limitaciones que
mentar su rango. Sin embargo, funcionamiento seguro. A la tempe- permiten el funcionamiento hasta los 100
incrementando la corriente directa ratura de + 100° C el diodo LED ya mA o, en algunos casos, los 50 mA: fre-
continua a través del componente luce con una considerable reducción cuencia de conmutación de 1 KHz, relación
podemos conseguir (bastante rápi- de intensidad. marca/espacio de 1:10 y 25° C de tempera-
damente) la muerte del mismo. Para tura ambiente.
conseguir una mayor luminosidad, Tolerancias Algunos fabricantes de diodos LEDs súper
el diodo LED debe funcionar en luminosos seleccionan componentes que
modo de pulsos. La tensión directa típica de un diodo cumplen con una luminosidad uniforme, den-
Introduciendo un pulso de 100 mA LED blanco es del orden de 3,5 V ± tro de una tolerancia de ± 1,6 mcd, especial-
durante 10 µs en un diodo LED y 10 %. Éste es un valor nominal que mente para usarlos en equipos de indicación
repitiendo este impulso a una fre- podemos encontrar a menudo en las de tráfico. Para evitar los efectos de fundido
cuencia de 1 KHz, la intensidad hojas de características de estos de los diodos, la selección se hace para no tra-
radiada se puede incrementar en un componentes. En la Figura 4 se bajar con un máximo de intensidad luminosa,
factor de 10, mientras que la tempe- muestra el rango de variación de esta tal y como podemos suponer. En las luces de
ratura máxima de la cápsula sea de tensión. Con una corriente directa de tráfico se utilizan diodos LED de Indio-Galio-
65 º (lo cual también aumenta su 20 mA, la caída de tensión en los Nitrito, con una luminosidad de “tan sólo” 180
directividad). Si se selecciona una extremos del diodo LED puede estar mcd. Por el contrario, los diodos LEDs con una
corriente constante de 20 mA, el comprendida entre 3,15 y 3,85 V. Si luminosidad de 9.000 mcd (como el L5-W54S-
diodo LED puede estar encendido hacemos trabajar a este diodo LED BS), son los preferidos para la iluminación de
constantemente. Si, por otro lado, se blanco en modo sensor de corriente, habitaciones, antorchas de estado sólido y
envía una corriente mucho más ele- utilizando una tensión regulada aun- aplicaciones similares.
vada a través del diodo LED, el que no calibrada, la corriente directa (020292-1)

Elektor 47
TEST&MEDIDA

Micrófono subsónico
utilizando un electret de bajo coste
Por K.-H. Kopp

Los micrófonos subsónicos que hay en el mercado son demasiado caros


para el usuario de a pié. Sin embargo, como no requerimos un dispositivo
de alta precisión para la medida, podemos construirnos un micrófono
usando algunos componentes de los de embalar.

El trabajo de un micrófono es convertir un Panel posterior con circuito paxolin que


sonido en una tensión eléctrica de la forma contiene terminales de conexión
más fiable posible. La conversión se pro-
duce en dos etapas: la primera desde sonido
a forma mecánica en la cápsula del micró-
fono y después de mecánica a forma eléc-
trica en el transductor. Las características Caja de aluminio
principales de un micrófono son la res- Caja de plástico con
puesta direccional y la respuesta de fre- conversor de impedancia
cuencia. Para nosotros la respuesta direc-
cional no es de mucho interés, pero sí la res-
puesta en frecuencia. ¿Cómo puede un
micrófono, que de acuerdo con las especifi- air stream
caciones tiene una respuesta de frecuencia Arandela aislante
que comienza en 50 Hz, responder a fre- Electrodo contador
cuencias por debajo de unos pocos Hert-
zios? Para responder a esta cuestión nece- Membrana electret
sitamos conocer un poco de teoría.
muesca
La respuesta de frecuencia de un micró- 020278 - 13
fono está determinada por la acción conjunta
de la cápsula y el transductor. Aquí distin- Figura 1. Vista seccionada de una cápsula de micrófono electret.
guimos entre los micrófonos de tipo diná-
mico, tales como los de película y los de
bobina móvil, y los de tipo electrostático, rial electret (generalmente basado rior. El diafragma se pone sobre un
tales como el micrófono de condensador. Los en película PTFE), el cual recibe anillo aislante. La mayoría de las
micrófonos que utilizan otros tipos de trans- una carga eléctrica de forma per- cápsulas consta de un cuerpo de
ductor, como cristal, carbón o contacto, sólo manente por bombardeo de elec- plástico que contiene un pequeño
son de interés histórico. trones. En los micrófonos electret conversor de impedancia (una sim-
Si deseamos construir un micrófono eco- de alta calidad el electrodo poste- ple etapa FET). El pequeño circuito
nómico y sencillo, la elección del tipo de rior también hace de material elec- Paxolin, que también tiene un con-
transductor es fácil: sólo tenemos que con- tret cargado. versor de impedancia, sirve asi-
siderar el micrófono electret, el cual opera La Figura 1 muestra un dibujo mismo como panel para los termi-
sobre el principio de condensador. Estos de un micrófono electret seccio- nales de conexión.
micros no requieren una tensión de ali- nado. El diafragma electret se fija Todo ello se fija en un pequeño
mentación de cápsula, ya que la membrana en la parte frontal, y a una corta tubo de aluminio con los bordes
(diafragma), o electrodo, se hace de mate- distancia está el electrodo poste- redondeados.

48 Elektor
TEST&MEDIDA
Transductores de presión teoría es bueno, pero desgraciada-
p
mente en la práctica no es viable
y gradiente de presión porque el pegamento puede dañar
t
el diafragma. En su lugar, podemos
Si examinamos atentamente un simplemente sustituir el encapsu-
micrófono electret, podemos en- lado. Debido a que no todos pode- Sobre-presión Bajo-presión

contrar una pequeña característica mos conseguir un encapsulado


que tiene consecuencias muy sellado, el autor ha encontrado com-
importantes en la respuesta de fre- ponentes estándar para construirlo
cuencia: hay pequeñas muescas en sin problemas. Dispersión sonora
el cerco del encapsulado de alumi- Necesitaremos un conector de
nio, debajo del anillo aislante. El audio, un jack estéreo (3,5 mm) y Onda sonora entrante
movimiento de aire es posible a un conector BNC macho con cable X2
X1
través de esas muescas entre la apantallado, tal y como puede verse
parte frontal y posterior del dia- desensamblado en la Figura 3. Es Diferencia de longitud de camino
p
fragma (membrana). Esto cambia la importante que tanto el conector de
Diferencia de presión sonora
cápsula desde un transductor de audio como el jack sean de metal (el
presión a un transductor de gra- BNC sólo está disponible en metal). X1 X2 X
diente de presión. Sólo usaremos algunas partes de los
La Figura 2 muestra la diferen- tres conectores: el backshell (car-
cia principal. En un transductor de casa) de los conectores de audio y
presión el diafragma se mueve jack y el cable apantallado del BNC
Mayor presión Mayor presión
hacia fuera cuando la presión macho. en el frontal detrás de la
de la membrana membrana
externa es baja (un valle en la onda El backshell del conector de
sonora) y hacia adentro cuando la audio se usará más tarde para suje-
presión es alta (un pico en la onda tar el micrófono, después de 020278 - 12

sonora). Sin embargo, la presión de sacarlo de su carcasa. El diámetro


aire cambia lentamente, por lo que, interno del backshell debe medir Figura 2. Comparación de transductores de
al menos en teoría, el diafragma se como mínimo unas pocas décimas presión y transductores de gradiente de presión
desplazará. En la práctica, los trans- de milímetro menos que el diáme-
ductores de presión están equipa- tro externo del micrófono desen-
dos con un agujero de capilaridad samblado. ensanchando el backshell lo que sea nece-
que permite una ecualización lenta Sujetaremos el backshell en un sario, pero con cuidado de no dañar el
de presiones, tales como los cam- tornillo de banco y limaremos con cable. Después cortaremos el backshell del
bios de presión atmosférica. Estas una lima del 400, como en un torno, jack macho, que debe tener el mismo diá-
cuestiones son diferentes en el caso
del transductor de gradiente de
presión. Aquí, el desplazamiento es
un resultado de la diferencia en las
longitudes de caminos y la diferen-
cia en presión sonora (o gradiente)
entre el diafragma de las partes
frontal y posterior. No es necesario
expresar este comportamiento en
una fórmula para ver que el trans-
ductor de gradiente de presión es
menor cuanto más se reduce la fre-
cuencia. La respuesta del transduc-
tor de gradiente de presión es muy
débil a bajas frecuencias, al contra-
rio que el transductor de presión, el
cual en teoría puede operar por
debajo de 0 Hz.

Ingredientes
Todo lo que necesitamos para cons-
truir un micrófono subsónico es
convertir el transductor de gra-
diente de presión en un transductor
de presión, por ejemplo sellando las
muescas con pegamento. Eso en Figura 3. Los tres conectores usados.

Elektor 49
TEST&MEDIDA
metro que el micrófono ‘al descubierto’,
unos 10 mm, y limaremos las posibles reba-
bas para evitar cortarnos. La Figura 4 nos
muestra las partes individuales de la nueva
caja de micrófono tal y como debe de
ensamblarse.
Ahora tenemos el micrófono preparado.
Separaremos el borde roscado de la parte
posterior de la placa del circuito usando un
cuchillo afilado, asegurándonos de no tocar
la apertura del micrófono, porque el dia-
fragma se puede dañar con mucha facili-
dad. Todavía no quitaremos el micrófono,
primero soldaremos un cable apantallado a
las conexiones de soldadura, observando su
polaridad. Figura 4. Los componentes preparados.

Bajo cubierto
A continuación necesitaremos una ‘habita-
ción limpia’, la cual podemos improvisar a
partir de una bolsa de plástico transparente.
Esto es necesario porque el polvo, la grasa
y las cargas eléctricas pueden dañar el dia-
fragma y los componentes internos de la
cápsula, por ello debemos ponernos a tierra
y utilizar guantes de goma (sin polvos de
talco), y realizar el resto del trabajo en la
‘sala limpia’.
Mantendremos la cápsula en vertical por
su cable y levantaremos cuidadosamente la
caja de aluminio. Después, con el mismo
cuidado, colocaremos el backshell del conec-
tor de audio sobre la cápsula sin tocar las
partes internas. El anillo del diafragma ais-
lado queda ahora contra el borde interno del Figura 5. Ensamblaje de los componentes en una sala limpia.
backshell, el cual debería mantener el cable
derecho en el conector de audio. Coloque el
tapón roscado del conector jack en el backs-
+9V
hell pasando por él el cable apantallado del
BNC (Figura 5). R1
C6
2k2

Ahora podemos sacar de la ‘habitación lim-


pia’el micrófono subsónico, como lo hemos C4 100µ
16V
denominado. La caja debería ser hermética C5
R2
para permitir que se realicen medidas en el 160p 8
2k2

R5 100 160p
rango subsónico. Para conseguirlo usaremos 33k IC1 R8 R7
una gota de pegamento libre de disolvente en 4
S1 1k2 33k
el punto de contacto entre el backshell y el 2
1000 R6
anillo aislante, teniendo cuidado que no toque C3 IC1.A
1
10k
6

el diafragma. 3 7
IC1.B
El diafragma abierto es muy frágil y se 5
4µ7
debe aislar del polvo y cualquier tipo de con- MIC1 R3 R4
C1
tacto. Para protegerlo colocaremos un trozo de
1k
470k

plástico de 20 mm en la parte superior y un 470µ


16V
trozo de espuma en la apertura.
C7
Amplificación electrónica IC1 = MXL1178 CN8 100µ
16V
–9V
La tensión de salida de un micrófono de
condensador no debe ser muy alta y se 020278 - 11

amplificará por un gran factor antes de pro-


cesarla. La electrónica para la conversión Figura 6. Esquema del circuito del preamplificador de micrófono.

50 Elektor
TEST&MEDIDA
de impedancia inicial, que tiene Tabla 1
lugar dentro de la cápsula, requiere
Factores de corrección
de una tensión de alimentación, Frecuencia
que como se observa en la Figura (Hertz) Micrófono Tarjeta sonido
Preamplificador TODOS
subsónico Terratec
6 suministra R1 y R2. La señal
alterna AC se toma desde el micró- 10 1 1 1 1
fono a través del condensador de 4 1 1 0.62 1.6
desacoplo C3 para la primera etapa 2 1 1 0.37 2.7
de amplificación IC1.A. La impe-
1 1 1 0.25 4
dancia de entrada la fija R3, y la
ganancia viene dada por 1+R5/R4.
C4 limita el ancho de banda del
amplificador y evita la inestabili-
dad. Con una tensión de micrófono Tabla 2
de –30 dBu a –70 dBu, una ganan- Factores de corrección
cia de 34 es inadecuada. Una Frecuencia
(Hertz) Micrófono
segunda etapa de amplificación Preamplificador Edirol UA-1A TODOS
subsónico
utiliza IC1.B para amplificar por un 10 1 1 1 1
factor de 3,3 ó 30, lo que resulta
una ganancia total de 100 (con S1 4 1 1 0.94 1.1
en la posición mostrada) o 1.000 2 1 1 0.85 1.2
(con S1 en la otra posición). Esto 1 1 1 0.73 1.4
permite que podamos usar cual-
quier micrófono electret de los dis-
ponibles (siempre que su tamaño
sea adecuado), y que la salida del ciones y sonidos es ‘Medusa’, el La característica de transferencia del
circuito proporcione una señal a los cual puede encontrarse en: micrófono subsónico no es conocida y por
niveles de línea. www.maschinendynamik.de/ lo tanto se toma (siendo muy optimista) el
El doble amplificador operacio- index.html valor 1. Se han determinado los factores de
nal usado es un dispositivo de pre- En Internet también podemos corrección para el preamplificador de
cisión (por ejemplo, con una baja encontrar una guía para la construc- micrófono y para la tarjeta de sonido utili-
tensión de offset) de Maxim, con ción de este micrófono. Se trata de zados (modelo Terratec), tal y como se
un consumo de corriente muy bajo, una página alemana de un grupo de muestra en la Tabla 1.
lo que lo hace ideal para el funcio- investigación de sonidos de baja fre- Por supuesto, los sonidos subsónicos se
namiento a batería. Las hojas de cuencia (IGZAB) en: pueden grabar usando un conversor A/D en
características se pueden encon- http://www.brummt.de lugar de una tarjeta de sonido. El autor usó la
trar en: Los micrófonos, amplificadores interface de audio USB Edirol UA-1A de
http://pdfserv.maxim-ic.com/ de micrófono y tarjetas de sonido Rolando, que nos da diferentes factores de
arpdf/MXL1178-MXL1179.pdf no presentan una respuesta plana corrección (ver Tabla 2).
Para analizar el sonido subsónico, en el rango de frecuencias que hay Si las dos entradas de la unidad Edirol se
conectaremos el preamplificador de bajo los 10 Hz. Si no podemos medir usan con dos micrófonos montados a una dis-
micrófono a la entrada de una tar- las características de transferencia tancia fija, es posible investigar técnicas para
jeta de sonido. Un programa ade- de varias partes de la cadena de localizar la fuente de sonido.
cuado (con una versión gratuita medida, sólo podremos trabajar con (020278-1)
experimental) para analizar oscila- valores estimados.
MINIPROYECTO

Temporizador
Semanal/Mensual
Programable para largos periodos de tiempo
A partir de una idea de Doug Pratt

Al contrario que la mayoría de los circuitos temporizadores, este Mini


Proyecto mensual está pensado para largos periodos de tiempo. Una
cadena de divisores conmutados, que utiliza un circuito integrado contador
404, permite seleccionar periodos de tiempo de hasta 194 días (sí, más
de 6 meses), con una gran precisión. Puede seleccionarse casi cualquier
periodo de tiempo más pequeño del máximo y todo ello sin necesidad de
un microcontrolador o cualquier otro componente exótico del mercado.
La mayoría de los cir-
cuitos capaces de descon-
tar periodos de tiempo
predefinidos, están pensa-
dos para usarse como tem-
porizadores en la cocina,
para apagar la luz de una
habitación o para un par-
king. Su rango de tiempo
cubrirá, de forma típica,
desde algunos minutos
hasta un par de horas. Si
deseamos que nos avise
cada quince días que
tenemos que sacar el cubo
de la basura, cada seis
semanas de nuestra cita
con el peluquero, cada tres
meses de verificar el fun-
cionamiento de nuestro
coche o de disponer de un
intervalo de servicio de
Este circuito comenzó como un proyecto rela- proyecto se pensó que una amplia- equipos, necesitaremos recurrir a un
tivamente sencillo (un temporizador que nos ción de este tipo era demasiado com- calendario o a un diario, ya que tem-
recordaba sacar nuestro cubo de basura para plicada, por lo que se eligió un dispo- porizadores con estos periodos de
su recogida una vez a la semana). La idea ori- sitivo bastante más sencillo, que nos tiempo tan largos sencillamente no
ginal era realizar un temporizador que contro- avisase que una cierta temporización existen. Corregimos, tendríamos que
lase un módulo que reproducía y grababa voz, había acabado, aunque aún es posi- haber dicho “no existían” ya que el
programado con un mensaje rápido. Sin ble añadir un módulo de voz si lo temporizador descrito en este artículo
embargo, para fijar el contenido de este mini deseamos. es la excepción a la regla. ¡Con este

52 Elektor
MINIPROYECTO
+U

8V5
D2 +5V...+15V

C3 C8
1N4001 BT
10n R3 100n R7
100k 10k
0.5 / 128 Hz 9V
K1 C7
9
9 14 CTR12 0 0
PH 100µ
7
10 1 1 16V
MODE 10 6
JP1 + 2 2 K4
normal

12 IC1 5
A 8 3 3 S1
13 Q IC2 3
B 4 4
4541 2
fast

5 5 5 at U B = 9V I min. = 0.27mA
AR CT 4 ACK
6 7 6 6 I max. = 2.5mA
R 13 R5
4040 7 7 "1"

10k
RTC CTC RS 12
8 8
1 2 3 11 14
R1 CT=0 9 9 K3 IC5
15 2 8 4
10M 10 10
R2 1 3 R
11 11 7
270k

4 1 DIS
IC3
X1 5 R4
13 2 TR 3
K2 9 & 10k OUT
555C
C2 C1 9 10
0 12 6
CTR12 THR
7 11
1 13
22p 22p 10 6 12 CV
+ 2 14 D1
32768Hz 5 1 5
3 15 4068
IC4 4
3
16
2 R6
+U 5 17 K5 C4 C5 C6
CT

3k3
4
6 18
13 10n 1µ 100n
4040 7 19
16 16 14 12 16V
8 20
IC2 IC4 IC5 11 14
CT=0 9 21
8 8 7 15
10 22 "1"
1
11 23
020190 - 11

Figura 1. Los contadores binarios en cascada permiten que el circuito pueda generar periodos de tiempo de larga duración, como
semanas y meses, con una precisión de 1 s.

montaje podemos seleccionar perio- mable”. Además de un oscilador, este


dos comprendidos en un rango circuito integrado contiene un conta- Tabla 1. Divisores.
desde los 2 segundos hasta los dor binario de 16 estados que pueden Conexión segundos
16.777.216 segundos! configurarse utilizando los terminales K1-0 (Q0-IC2) 2
A y B del circuito integrado. En nues- K1-1 (Q1-IC2) 4
Electrónica sencilla tro caso se ha elegido un divisor de 216. K1-2 (Q2-IC2) 8
En consecuencia, la frecuencia de reloj K1-3 (Q3-IC2) 16
En la Figura 1 se muestra el esquema generada por el cristal de cuarzo de K1-4 (Q4-IC2) 32
eléctrico del circuito del temporizador. 32.768 Hz, es dividida hasta los 0,5 Hz, K1-5 (Q5-IC2) 64
Como podemos ver, el proyecto está lo cual se corresponde con un período K1-6 (Q6-IC2) 128
constituido por cinco circuitos inte- de tiempo de 2 segundos. K1-7 (Q7-IC2) 256
grados y un conjunto de componentes El pulso de 2 segundos, a su vez, K1-8 (Q8-IC2) 512
discretos. Funcionalmente el circuito dispara una cadena divisora (“colo- K1-9 (Q9-IC2) 1,024
se puede dividir en tres secciones: un cada en cascada”) que está consti- K1-10 (Q10-IC2) 2,048
oscilador, un contador configurable por tuida por dos contadores binarios de K1-11 (Q11-IC2) 4,096
el usuario y un biestable que controla 12 estados del tipo 4040 (IC2 e IC4).
un diodo LED se actúa como un indi- Estos circuitos integrados están colo- K2-12 (Q0-IC4) 8,192
cador de que el período de tiempo pro- cados en cascada porque la última K2-13 (Q1-IC4) 16,384
gramado ha llegado a su fin. Debemos salida (Q11 en IC2) del primer circuito K2-14 (Q2-IC4) 32,768
señalar que este circuito permite con- integrado está unida con la entrada de K2-15 (Q3-IC4) 65,536
trolar otros dispositivos visuales o reloj (CLK) del segundo contador (IC4). K2-16 (Q4-IC4) 131,072
K2-17 (Q5-IC4) 262,144
acústicos, incluyendo un zumbador. En esta configuración, los dos circui-
K2-18 (Q6-IC4) 524,288
El circuito integrado IC1 y los com- tos integrados 4040 permiten realizar
K2-19 (Q7-IC4) 1,048,576
ponentes pasivos que lo rodean actúa una división de 224, lo cual da como
K2-20 (Q8-IC4) 2,097,152
como un oscilador. El 4541 es un resultado el tiempo máximo mencio- K2-21 (Q9-IC4) 4,194,304
pequeño circuito integrado CMOS, nado anteriormente de 16.777.216 K2-22 (Q10-IC4) 8,388,608
muy conocido, descrito por sus fabri- segundos. Llegados a este punto, K2-23 (Q11-IC4) 16,777,216
cantes como un “temporizador progra- algunos de nuestros lectores podrían

Elektor 53
MINIPROYECTO
020190-1
JP1 C1
0
LISTA DE MATERIALES
C2
H1

H2

1
"1" 2 Resistencias:
3
R1 = 10M
IC1

IC2
5 R2 = 270k
6
X1 7 R3 = 100k
K5 8
R1 R4,R5,R7 = 10k
R2 9
10 C3 R6 = 3k3
C4
11 R3
K3 K1 C8
Condensadores:
020190-1

R7
12 C1,C2 = 22pF
IC5
R4

13
14 C3,C4 = 10nF
15 C5 = 1µF, condensador
16
IC4

"1" electrolítico de 16 V radial


C6 17
18 C6,C8 = 100nF
19
C7 = 100µF, condensador
IC3

C5 20
R6

21 electrolítico de 16 V radial
R5

K4 22
K2 23
D2

D1 T
0 + C7 Semiconductores:
H3

H4

1-0S1
91020 020190-1 D1 = Diodo LED de alta
ROTKELE )C( OUT

(C) ELEKTOR
eficiencia, 2 mA
Figura 2. La placa de circuito impreso diseñada para el Temporizador Semanal/Mensual es D2 = 1N4001
pequeña y, junto con la pila de 9 V PP3, se podrá integrar fácilmente en una caja de plástico IC1 = 4541
estándar. IC2,IC4 = 4040
IC5 = 4068
pensar ¿por qué el período de tiempo no puede que el diodo LED D1 se ilumine. Si no IC6 = 555C (versión CMOS)
ser dos veces más largo? (después de todo, la estamos satisfechos con el uso de un
Varios:
cadena divisora está atacada con una señal de diodo LED, podemos utilizar la misma JP1 = Puente de configuración
0,5 Hz en lugar de 1 Hz). La respuesta es sen- salida para controlar un zumbador K1, K2 = Conector tipo
cilla: la salida se activa tan pronto como la activo u otro activador de baja poten- “pinheader” SIL de 12 terminales
mitad del período de tiempo del contador ha cia. El usuario puede verificar el aviso K3 = Conector tipo “pinheader”
transcurrido. de final de tiempo (bien sea visual o SIL de 8 terminales
El divisor colocado en cascada está acústico), presionando el pulsador S1. K4, K5 = Conector tipo
seguido por una puerta NAND de 8 entradas Esto provoca la generación de un “pinheader” SIL de 4 terminales
(IC5). Al conectar una o más salidas del con- pulso positivo que se aplica a la S1 = Pulsador de un contacto
tador (disponibles en los conectores K1 y K2) entrada TR -, por lo que el biestable X1 = Cristal de cuarzo de
a la(s) entrada(s) de la puerta NAND (disponi- vuelve a su estado no activo. 32,768 KHz
Pila, PP3 (6R22) con clips con hilos
bles en el conector K3), se puede definir casi
para su conexionado
cualquier periodo de tiempo que se desee. Algunos detalles
Una vez que se ha alcanzado el valor pre-
seleccionado (“contado”), suceden dos cosas: adicionales
para empezar, la transición de nivel bajo a Como los contadores trabajan de
nivel alto que ocurre en la salida no inversora En la explicación del circuito que forma asíncrona (como si fuese el
NAND de IC5, es utilizada para resetear nues- acabamos de hacer se nos han esca- rizado de una señal), pueden aparecer
tros dos circuitos integrados contadores, IC2 pado un par de detalles. picos a la salida de la puerta NAND de
e IC4, por medio de las dos redes R-C, R7-C8 Tal y como se indica en el IC5 que, a su vez, pueden provocar
y R3-C3. Esto provoca que el período de esquema eléctrico del circuito, el unos resultados de temporización fal-
tiempo definido por el usuario pueda iniciarse puente JP1 proporciona una selección sos. El problema se soluciona con la red
de nuevo otra vez. En segundo lugar, la tran- entre “rápido” y “normal”. Esta posi- R4-C4, la cual suprime estos picos y las
sición de nivel alto a nivel bajo en la salida bilidad adicional ha sido añadida para señales parásitas. La propagación del
inversora del circuito integrado IC5, se lleva al funciones de prueba. Cuando se retardo en cada contador de los circui-
circuito biestable para poder dispararlo. selecciona el modo “rápido”, el termi- tos integrados 4040, depende delica-
El circuito integrado IC5, un temporizador nal B de IC1 se conecta a masa, de damente de la tensión de alimentación
555, en su modo de funcionamiento denomi- manera que el divisor colocado en IC1 actual y podrá variar entre 50 y 100 ns
nado “biestable”, actúa como un oscilador. El se modifica, de forma que la frecuen- por estado del contador. Para el con-
biestable oscilará colocando su salida a nivel cia de salida en el terminal Q se incre- junto de los circuitos integrados IC2 e
alto en el flanco de bajada del pulso aplicado en menta en un factor de 128. Esto es IC4, esto proporciona una desviación
la entrada TR -. Su estado anterior se restaura muy útil cuando realizamos una veri- máxima de unos 24 x 100 ns = 2,4 µs.
cuando un pulso positivo pase (superando el ficación del circuito, ya que no tene- Por supuesto, la salida no inversora
flanco) por su entrada TR +. Por lo tanto, mos que esperar tanto tiempo para de la puerta NAND también puede
cuando el período seleccionado ha sido consu- verificar si hemos realizado la “pro- producir picos de tensión que, si no
mido en el temporizador, la salida del circuito gramación” correcta (veremos esto son suprimidos, también pueden pro-
integrado IC3 pasa a nivel alto, lo que provoca con más detalle más adelante). ducir fácilmente una falsa “puesta a

54 Elektor
MINIPROYECTO
cero” de los contadores. En este caso
también se ha utilizado una red R-C,
pero, sin embargo, dicha red tiene un
problema potencial, ya que provoca
que la tensión de reset crezca bastante
lentamente. Si suponemos que los dos
contadores no son exactamente idén-
ticos (algo que, por desgracia, siempre
es lo que sucede), estaremos en el caso
de que uno de ellos será puesto a cero
mientras que el umbral de reset para el
otro aún no se habrá alcanzado. Esto es
bastante molesto, ya que la puesta a
cero de uno de los contadores provoca
que el pulso de reset desaparezca, lo
que da como resultado que sólo uno de
los contadores haya sido inicializado
mientras que el otro mantiene aún su
valor previo almacenado. Para evitar
que esto suceda, se ha aplicado una
doble red R-C, formada por los compo-
nentes R7-C8 y R3-C3, las cuales pro- Paso 3. Repetimos el Paso 2 hasta Si estamos pensando en utilizar el contador
porcionan una señal de reset con una alcanzar el cero: para un período de tiempo fijo, es necesario
forma de onda un poco diferente: con 80,512 – 65,536 = 14,976 unir los enlaces de los conectores K1/K2 con
estos circuitos conseguimos que apa- (salida Q1 en IC4) K3, por medio de simples hilos que se instala-
rezca un tiempo “muerto”, que es otra 14,976 – 8,192 = 6,784 rán de forma permanente en la placa del cir-
manera de decir que el pulso completo (salida Q0 en IC4) cuito impreso. Lo mismo sucede con las entra-
ha sido retrasado un poco. El resultado 6,784 – 4,096 = 2,688 das no utilizadas de la puerta NAND.
no sólo es que se utiliza un poco más (salida Q11 en IC2) Por otro lado, si prevemos (al igual que nos-
de tiempo para que el pulso alcance el 2,688 – 2,048 = 640 otros) utilizar diferentes períodos de tiempo,
nivel de reset, sino que también se per- (salida Q10 en IC2) es mejor montar conectores del tipo “pinhea-
mite que el pulso aumente más allá de 640 – 512 = 128 (salida Q8 en IC2) der” SIL en las posiciones K1–K5. De este
los 0,5 V, para poder estar absoluta- 128 – 128 = 0 (salida Q6 en IC2) modo es fácil seleccionar el periodo de tiempo
mente seguros de que el otro contador deseado, utilizando tan sólo un conjunto de
también se pondrá a cero. Cada una de las salidas del con- cables cortos con un terminal conector a cada
tador hallada de esta manera tiene lado. Ese terminal conector debe estar aislado,
Cómo seleccionar que conectarse a una entrada de la utilizando un trozo de tubo de teflón termo-
puerta NAND. Cualquier otra en- rretráctil o algo similar.
el periodo trada no usada de dicha puerta
tiene que llevarse a la tensión posi- Fuente de alimentación
El cálculo de las salidas que tenemos tiva de alimentación, de aquí la pre-
que utilizar para seleccionar un deter- sencia de las tiras de conectores K4 El Temporizador Semanal/Mensual descrito en
minado periodo de tiempo es bas- y K5. este artículo es muy económico y consume tan
tante sencillo. El proceso se inicia de sólo 0,3 mA cuando el diodo LED no está encen-
manera similar a una gran división: El montaje dido. Cuando el diodo LED se enciende, el con-
sumo de corriente aumenta hasta unos 2 mA.
Paso 1. Calculamos el tiempo El diagrama de pistas y la distribu- Está claro que el consumo de corriente de este
deseado en segundos. Tomemos una ción de componentes para la placa circuito debe ser el menor posible ya que, en la
semana como ejemplo: 60 segundos del circuito impreso se muestra en práctica, el circuito estará alimentado por una
• 60 minutos • 24 horas • 7 días = la Figura 2. Esta placa no está dis- pila. Las pilas alcalinas de 9 V PP3 de hoy día tie-
604,800 segundos. ponible ya montada a través de nen una capacidad nominal de unos 500 mAh,
nuestro Servicio de Lectores. Otra lo cual debe ser suficiente para unos dos meses
Paso 2. Use la tabla de divisores alternativa es la de fabricar nuestra de funcionamiento continuo del temporizador
(Tabla 1) para buscar el primer propia placa de circuito impreso (evitando, por supuesto, no dejar el diodo LED
número que es más pequeño que el utilizando las plantillas impresas en encendido durante semanas). Si la capacidad de
valor anteriormente calculado. Así, la revista (o descargadas como un la batería nos parece corta y es insuficiente para
encontraremos que Q4 en IC4 con el fichero .pdf de nuestra página nuestra aplicación, podemos considerar utilizar
divisor asociado 524,288 es el valor web). El montaje de los componen- un paquete de 4 pilas del tipo AA (con su dis-
que buscamos. A continuación de- tes sobre la placa no debe propor- positivo para alojarlas), o un adaptador de ten-
terminaremos la diferencia: cionar ningún tipo de problema, sión de red con una tensión de salida estabili-
incluso para los aficionados más zada entre + 5 V y + 15 V DC.
604,800 – 524,288 = 80,512 novatos. (020190-1)

Elektor 55
SERVICIOS LECTORES
Código Precio
(€)

E277 JUNIO 2003


JUNIO 2003 Controlador de luces de discoteca de 8 canales:
- PCB 010131-1 25,34
- 87C750 or 87C71, programmed 010131-4 44,70
CONDICIONES GENERALES Pico PLC:
- PCB 010059-1 36,00
Los circuitos impresos, carátulas autoadhesivas, ROMs, PALs, GALs, microcontroladores y disquetes que aparecen en - Disk, test program 010059-11 9,00
las páginas de ELEKTOR se encuentran a disposición de los lectores que lo requieran. Para solicitarlos es necesario Simple chip para Control de Tono:
utilizar el cupón de pedido que se encuentra en las páginas anexas.
- PCB 020054-4 21,00
Este mismo cupón también puede utilizarse para efectuar pedidos de los libros de la colección de ELEKTOR (en versión
original inglesa). E276 MAYO 2003
- Los items marcados con un asterisco (*) tienen una vigencia limitada y su disponibilidad solo puede garantizarse
Fuente de Alimentación Conmutada de 17 V/10 A:
durante un cierto periodo de tiempo.
- PCB 020054-3 19,40
- Los items que no se encuentran en esta lista no están disponibles.
- Los diseños de circuitos impresos se encuentran en las páginas centrales de la Revista. En ocasiones y por limitación
Unidad de conmutación complementaria para modelismo R/C:
de espacio no se garantiza la publicación de todos los circuitos. En estos casos los lectores interesados pueden solicitar
- PCB 020126-1 19,00
- Disk, hex and source files 020126-11 10,00
los diseños, utilizando el mismo cupón de pedido y les serán enviados a su domicilio contra reembolso de 500 pts.
- PIC16C712-041/SO, programmed 020126-41 32,00
(incluidos gastos de envio).
- Los EPROMs, GALs, PALs, (E)PLDs, PICs y otros microcontroladores se suministrarán ya programados. Sistema de Desarrollo AVRee:
Los precios y las descripciones de los diferentes productos estan sujetos a cambios. La editorial se reserva el derecho - PCB 020351-1 27,36
de modificar los precios sin necesidad de notificación previa. Los precios y las descripciones incluidas en la presente - Disk, example programs 020351-11 10,00
edición anulan los publicados en los anteriores números de la Revista. Caja de conmutación con efectos de guitarra:
- PCB 020181-1 27,00
Temporizador Inteligente para Ventilador:
FORMA DE ENVIO - Disk, project software 020170-11 10,00
- MSP430F1121, programmed 020170-41 23,50
Los pedidos serán enviados por correo a la dirección indicada en el cupón de las páginas anexas. Además los lectores
pueden formular pedidos por teléfono llamando al número 91 327 37 97 de lunes a viernes en horario de 9,30 a 14 h y
Sustitución del SAA3049:
de 16 a 19 h. Fuera de este horario existe un contestador telefónico preparado para recoger las demandas. Los gastos
- PCB 020085-1 27,00
- Disk, source and hex code 020085-11 10,00
de envio serán abonados por el comprador, tal como se indica en el cupón.
- 87LPC764BN, programmed 020085-41 20,60
Desplazamiento de luces bicolor:
- PCB, controller board 010134-1 17,00
FORMA DE PAGO - PCB, LED board 010134-2 22,00
- Disk, project software 010134-11 10,00
Todos los pedidos deberán venir acompañados por el pago, que incluirá los gastos de envio, tal como se indicó - AT89C2051-12PC, programmed 010134-41 15,00
anteriormente.
El pago puede realizarse mediante cheque conformado de cualquier banco residente en territorio español, giro postal
E275 ABRIL 2003
anticipado, tarjeta VISA (en este caso debe indicarse la fecha de caducidad, domicilio del propietario de la tarjeta y firma Analizador Lógico 20/40 MHz:
del mismo). - PCB 020032-1 32,00
Nunca se deberá enviar dinero en metálico con el pedido. Los cheques y los giros postales deben ser nominativos a la - Disk, demo program 020032-11 10,00
orden de VIDELEC S.L. - AT90S8515-8PC, programmed 020032-41 31,28
Sistema de Altavoces Activo (II):
- PCB 020054-2 16,46
SUSCRIPCIONES A LA REVISTA Y EJEMPLARES ATRASADOS Medidor de Capacidad con Escala Automática:
- PCB 020144-1 15,00
Las suscripciones o pedido de números atrasados, si se encuentran disponibles, se realizarán a LARPRESS, C/ La Forja,
- Disk, source and hex files 020144-11 10,00
nº 27 - 28850 Torrejón de Ardoz (Madrid). Telf: 91 677 70 75, Fax: 91 676 76 65. E-mail: suscrip@larpress.com
- PIC16F84A-20/P, programmed 020144-41 32,00
Los precios de ejemplares atrasados son de 3,60 € más gastos de envio.
Reloj de arena electrónico:
- PCB 020036-1 38,00
- Disk, project software 020036-11 10,00
COMPONENTES UTILIZADOS EN LOS PROYECTOS - PIC16F84A-04/P, programmed 020036-41 32,00

Todos los componentes utilizados en los proyectos ofrecidos en las páginas de la Revista se encuentran generalmente
E274 MARZO 2003
disponibles en cualquier establecimiento especializado o a través de los anunciantes de este ejemplar. Si existiera alguna Sistema de altavoces activo (I):
dificultad especial con la obtención de alguna de las partes, se indicará la fuente de suministro en el mismo artículo. - PCB 020054-1 16,00
Lógicamente los proveedores indicados no son exclusivos y cualquier lector podrá optar por su suministrador habitual. Lanzador de Dado RPG Electrónico:
- PCB 020005-1 23,00
- Disk, source code file 020005-11 11,14
- AT90S4433-8PC, programmed 020005-41 70,24
CONDICIONES GENERALES DE VENTA Ahuyentador de roedores:
- PCB 020110-1 27,00
Plazo de entrega: El plazo normal será de 2-3 semanas desde la recepción del pedido. No obstante no podemos
garantizar el cumplimiento de este periodo para la totalidad de los pedidos. Conectores de red controlados SMS:
Devoluciones: Aquellos envios que se encuentren defectuosos o con la falta de alguno de los componentes podrán ser - PCB 020157-1 25,00
devueltos para su reposición, solicitando previamente nuestro consentimiento mediante llamada telefónica al número
(91) 3273797 en horario de oficina. En este caso la persona que llame recibirá un número de devolución que deberá
E273 FEBRERO 2003
hacer constar al devolver el material en un lugar bien visible. En este caso correrá por nuestra cuenta el gasto de envio CompactFlash Interface para sistemas de microcontrolador:
de la devolución, debiéndolo hacer así constar el remitente en su oficina postal. A continuación se le enviará - PCB 020133-1 12,00
nuevamente el pedido solicitado sin ningún gasto para el solicitante. - Disk, source code of demo 020133-11 10,00
En cualquiera de los casos anteriores, solo se admitirán las devoluciones en un plazo de tiempo de 14 dias contados a Bus DCI:
partir de la fecha de envio del pedido. - PCB, converter board 010113-1 17,00
Patentes: Algunos de los circuitos o proyectos publicados pueden estar protegidos mediante patente, tanto en la - PCB, terminal board 010113-2 25,00
Revista como en los libros técnicos. La editorial LARPRESS no aceptará ninguna responsabilidad derivada de la - Disk, project software and source code 010113-11 10,00
utilización inadecuada de tales proyectos o circuitos para fines distintos de los meramente personales. - AT90S8515-8PC, programmed 010113-41 43,00
Copyright: Todos los dibujos, fotografias, artículos, circuitos impresos, circuitos integrados programados, disquetes y Ampliación de líneas y ADC:
cualquier otro tipo de software publicados en libros y revistas están protegidos por un Copyright y no pueden ser - Disk, BASCOM-51 programs 020307-11 10,00
reproducidos o transmitidos, en parte o en su totalidad, en ninguna forma ni por ningún medio, incluyendo fotocopiado Dispositivo de bloqueo programable para números de teléfono:
o grabación de datos, sin el permiso previo por escrito de Editorial LARPRESS. - PCB 020106-1 25,00
No obstante, los diseños de circuitos impresos si pueden ser utilizados para uso personal y privado, sin necesidad de - Disk, project software 020106-11 10,00
obtener un permiso previo. - AT89C2051-1, programmed 020106-41 13,00
Limitación de responsabilidad: Todos los materiales suministrados a los lectores cumplen la Normativa Internacional
Codec de audio USB con S/PDIF:
en cuanto a seguridad de componentes electrónicos y deberán ser utilizados y manipulados según las reglas
- PCB 020178-1 22,00
universalmente aceptadas para este tipo de productos. Por tanto ni la editorial LARPRESS, ni la empresa suministradora
de los materiales a los lectores se hacen responsables de ningún daño producido pos la inadecuada manipulación de los E272 ENERO 2003
materiales enviados.
Emulador de EPROM:
- PCB 024066-1 18,50
- Disk, GAL JEDEC listing 024066-11 10,00
- GAL 16V89, programmed 024066-31 10,00
CONSULTORIO TECNICO
Linterna a LED:
Existe un Consultorio técnico telefónico gratuito a disposición de todos los lectores. Este sevicio se presta todos los - Disk, project software 012019-11 10,00
lunes y martes laborables en horario de 17 a 19 h. - PIC12C672-04/SM, programmed 012019-41 40,00
El número de teléfono para consultas es el 91 375 02 70. Comprobador de Nivel de Audio:
- PCB 020189-1 18,50

56 Elektor
✂ SERVICIOS LECTORES

CUPON DE PEDIDO
Por favor envíen este pedido a:
ADELTRONIK Nombre
Apartado de Correos 35128 Domicilio
28080 Madrid
ESPAÑA
Tel. 91 327 37 97
C.P.
Tel. Fax Fecha
Por favor envíenme los siguientes materiales. Para circuitos impresos, carátulas, EPROMs, PALs, GALs,
microcontroladores y disquetes indique el número de código y la descripción.

Cant. Código Descripción Precio/unid. Total


IVA incl. €

Forma de pago (vea la página contigua para más detalles)


Los precios y las descripciones están sujetas a Sub-total
cambio. La editorial se reserva el derecho de
Nota: Los cheques serán en euros y conformados por una entidad bancaria. cambiar los precios sin notificación previa. Los Gastos envio 3
❏ Cheque (nominativo a VIDELKIT, S.L.) precios y las descripciones aquí indicadas anulan
las de los anteriores números de la revista. Total
❏ Giro postal. Cuenta Postal (BBVA)
Nº 0182-4919-74-0202708815

❏ Fecha de caducidad: Firma:

Número de tarjeta:

Código Precio Código Precio


(€) (€)

Monitorizador telefónico de bebé: E269 OCTUBRE 2002


- PCB 012016-1 20,00
Medidas de Distancia mediante Rayos Infrarrojos:
- Disk, source and hex files 012016-11 10,00
- Disk, project software 020010-11 9,79
- AT90S1313-10PC, programmed 012016-41 21,00
- 87LPC762, programmed 020010-41 21,38
Adaptador para Diagnóstico de Vehículo:
- PCB 020138-1 18,50 E268 SEPTIEMBRE 2002
E271 DICIEMBRE 2002 Limitador de Audio para DVD:
- PCB 024074-1 27,00
Programador AT90S8535:
Cambio entre Teclado/Ratón por Pulsador:
- PCB 024051-1 16,24
- PCB 024068-1 20,00
Vatímetro Digital de RF:
- PCB 020026-1 26,00 E267 AGOSTO 2002
- Disk, source code files 020026-11 10,00 Procesador de Señal de Audio Digital DASP-2002:
- PIC16F876-04/SP 020026-41 40,00
- PCB 020091-1 38,59
Medidor de Nivel de Presión Sonora: - 27C256, programmed 020091-21 18,94
- PCB 020122-11 39,25 - Set: PCB + 020091-21 020091-C 55,00
Alarma de Robo para Moto: Antorcha de diodos LED
- PCB 000191-1 20,00 - PCB 010130-1 26,47
- Disk, source code files 000191-11 10,00
Verificador DMX Portátil
- PIC16F84-04/P 000191-41 40,00
- PCB 010203-1 26,47
- PIC16F84-04/P 000191-42 29,35
- Disk, source & hex code files 010203-11 11,00
E270 NOVIEMBRE 2002 - AT90S8515, programmed 010203-41 87,15

Receptor de la banda de 20 m: Tube Box


- PCB 010097-1 28,47 - PCB 010119-1 22,00

Comprobador de condensadores ESR: E266 JULIO 2002


- PCB 012022-1 32,00
Regulador de luz DMX:
Microprogramación para emulador EPROM: - PCB 010210-1 50,46
- Disk, hex file 024107-11 9,78 - 68HC11F1FN, programmed 010210-41 78,72
- AT89C2051-12P programmed 024107-41 16,00 - Set: PCB + 010210-41 010210-C 124,21
Comprobador de continuidad:
- PCB 020002-1 9,13 E265 JUNIO 2002
Placa controladora de alta velocidad (II): Controlador de CompactFlash para Bus IDE:
- PCB 020102-1 24,00 - PCB 024032-1 20,00
Interface paralela JTAG: Interface I2C para Bloque Lego RCX:
- PCB 020008-1 18,00 - Disk, project software 010089-11 11,00

Elektor 57
SERVICIOS LECTORES
Código Precio Código Precio
(€) (€)

Interface LPT/DMX: E260 ENERO 2002


- PCB 010212-1 22,21 Control remoto PCM en miniatura (2):
- Disk, source code files & program 010212-11 11,00 - Transmitter PCB 010205-1 23,52
- AT90S8515-8PC, programmed 010212-41 89,00 - Receiver PCB 010205-2 19,84
Receptor de Infrarrojos Multi-estándar: - 87LPC768FN, programmed 010205-41 37,36
- PCB 012018-1 18,00 - 87LPC762BN, programmed 010205-42 23,20
- Disk, project software 012018-11 11,00 - Disk, project software 010205-11 11,01
- P87LPC764BN, programmed 012018-41 25,00 Medidor de capacidad y descarga de batería:
Interfaz Serie para el Bus 1-Wire de Dallas: - PCB, includes discharger PCB 010201-1 34,53
- PCB 020022-1 15,00 - ST62T65, programmed 010201-41 49,16
- Disk, project software 010201-11 19,24
E264 MAYO 2002 Demultiplexor DMX de 8 canales:
Sistema de Medida de Velocidad: - PCB 010002-1 41,05
- PCB 010206-1 25,74 - EPROM 27C256 (programmed) 010002-21 18,91
- Disk, project software 010002-11 13,64
- Disk, source and hex files 010206-11 11,38
- 87LPC762, programmed 010206-41 24,34 E259 DICIEMBRE 2001
Control Remoto de Procesos utilizando un Teléfono Móvil (2): Analizador de códigos de IR:
- PCB 010087-1 30,81 - 87LPC764, programmed 010029-41 25,88
- Disk, project software 010087-11 11,38 - disk, source code 010029-11 11,02
- GAL16V8, programmed 010087-31 11,33
Saltador:
Sencillo Programador para Micros AVR: - PCB 010038-1 17,05
- PCB 010055-1 30,14 - 89C2051, programmed 010038-41 21,33
- Disk, project software 010055-11 11,13 - disk, source code 010038-11 10,83
- Set: PCB + 010055-11 010055-C 30,08 Espionaje de datos en la línea RS232:
Receptor de Banda VHF: - PCB 010041-1 10,84
- PCB 010064-1 30,54
E258 NOVIEMBRE 2001
CI multi-propósito para modelismo (II):
- PCB, speed controller 010008-1 11,00 Programador de Micro AVR Atmel:
- PCB, hot glow/go-slow 010008-3 11,00 - PCB 010005-1 28,12
- Disk, project software 010005-11 13,35
- Disk, source code files 010008-11 14,00
- AT89C2051-12PC, programmed 010005-41 21,22
- 87LPC762BN, programmed 010008-41 23,47
- Set: PCB + 010005-11 + 010005-41 010005-C 52,57
E263 ABRIL 2002 Módulo gráfico LCD para microprocesadores 8051:
Panel Mezclador de Luces: - PCB 000134-1 15,23
- Disk, project software 000134-11 10,77
- PCB 0000162-1 78,00
- Set: PCB + 000134-11 000134-C 21,29
Circuito integrado multipropósito para modelismo (I):
Interface I2C para Servo:
- PCB, servo reserve 010008-2 10,58
- Disk, project software 010006-11 10,77
- PCB, 2-channel switch 010008-4 10,58
- Disk, source code files 010008-11 13,44 Miniservidor WEB personal:
- 87LPC762BN programmed 010008-41 23,00 - PCB 010036-1 17,93
- Disk, project software 010036-11 10,90
Sistema de Desarrollo PICee: - GAL 16V8, programmed 010036-31 20,90
- PCB 010062-1 38,39
- Disk, example programs 010062-11 11,00 E257 OCTUBRE 2001
- Set: PCB + 010062-11 010062-C 44,00 Conversor de 12 a 24V:
Amplificador Final Versátil: - PCB 014025-1 20,19
- PCB, amplifier 010049-1 20,00 Control remoto por infrarrojos para PCs:
- PCB, power supply 010049-2 33,00 - AT90S2313, programmed 000170-41 29,02

E262 MARZO 2002 E256 SEPTIEMBRE 2001


Interfaz de disco duro para puerto de impresora: Interface I2C para puerto RS232:
- PCB 010047-1 25,59 - Disk, project sofware 010045-11 10,90
- Disk, project software 010047-11 10,84 Dispositivo para concurso:
- 7064LC84-15, programmed 010047-31 73,21 - Disk, project sofware 000190-11 10,96
Iluminación y caja de cambios:
- Disk, project software 010204-11 10,86 E255 AGOSTO 2001
- PIC16C57, programmed 010204-41 25,40 PLC DCI:
Interrogador maestro: - PCB 000163-1 47,15
- PCB, transmitter and receiver 010030-1 39,00 - Disk, project software 000163-11 11,62
- Disk, project software 010030-11 11,00 - Set: PCB + 000163-11 000163-C 54,66
- PIC17C44-16/P, programmed 010030-41 59,30 SMPSU para automóvil:
- PCB 000193-1 23,09
E261 FEBRERO 2002 Metrónomo y diapasón:
Placa microcontroladora flash para 89S8252: - PCB 000198-1 38,62
- PCB 010208-1 32,00 - Disk, project software 000198-11 11,55
- Disk, project software 010208-11 11,00 - PIC 16F84, programmed 000198-41 31,77
Medidor de descarga/capacidad de batería: Display de Matriz de Puntos Modular:
- PCB set 010201-1 34,03 - Disk, project software 010021-11 11,55
- Disk set, project sofware 010201-11 19,00 - AT89C2051 programmed 010021-41 22,55
- ST62T65B6, programmed 010201-41 40,00 Tarjeta de 32 canales de entradas analógicas:
Cerradura electrónica codificada: - PCB 004090-1 29,52
- PCB 004003-1 22,54 E254 JULIO 2001
- Disk, project softtware 006001-1 11,00
- PIC16F84-04/P, programmed 006501-1 31,28
Mayor-Domo:
- PCB 000184-1 27,60
Fuente de alimentación digital para laboratorio: - Disk, project software 000184-11 11,49
- PCB 000166-1 25,00 - AT90S8515, programmed 000184-41 59,28
- Disk set, project software 000166-11 13,44
Control remoto para modelismo:
- PIC16F84A-04P, programmed 1A version 000166-41 43,00
- PCB 000160-1 18,97
- PIC16F84A-04P, programmed 2.5 version 000166-42 43,00 - Disk, project software 000160-11 11,49
Control remoto RC5: - COP8782, programmed 000160-41 27,60
- Disk, project software 000189-11 11,00 Taladradora para PCB:
- Attiny22L-8PC, programmed 000189-41 20,00 - PCB 010024-1 52,96
UART USB: - GAL16R8-25C, programmed 010024-31 16,11
- PCB 010207-1 37,93 - PIC16C64-20, programmed 010024-41 37,39
- Disk, project software 010207-11 18,00 - Set: PCB + 010024-31 + 010024-41 010024-C 98,92
- CY7C63001A, programmed 010207-41 63,02 Amplificador de potencia a válvulas HiFi PPP:
- Set: PCB + 010207-11 + 010207-41 010207-C 86,00 - PCBs available from Experience Electronics

58 Elektor
LIBROS
Elektor ofrece a sus lectores una selección de libros técnicos de gran valor para aficionados y profesionales. Todos ellos están escritos en inglés, el
idioma más utilizado en el ámbito técnico.

SERIE 300. Circuitos y aplicaciones:


301 Circuits 302 Circuits 303 Circuits
Precio: 27,05 € Precio: 27,05 € Precio: 29,45 €

304 Circuits 305 Circuits 307 Circuits


Precio: 34,26 € Precio: 39,67 € Precio: 46,28 €

Microprocesadores, periféricos y técnicas:


Short course Microprocessor Data Book 3
8051/8032 Data Book - Peripherical
microcontrollers Precio: 29,45 € Chips
and assemble Precio: 29,45 €
Precio: 27,05 €

Data Sheet Book 2 Data Book 4 - SMT Projects


Precio: 27,05 € Peripherical Chips Precio: 27,05 €
Precio: 29,45 €

ST62 PICs in
Microcontrollers practice
Precio: 66,11 € Precio: 66,11 €

SCSI The ins The I2C Bus


and outs Precio: 66,11 €
Precio: 66,11 €

CUPON DE PEDIDO
Por favor envíen este pedido a:

Construcción de equipos completos: ADELTRONIK


Apartado de Correos 35128
Nombre
Domicilio
28080 Madrid
ESPAÑA
Tel. (91) 327 37 97

Build your own Tel. Fax


C.P.
Fecha
Electronic test Por favor envíenme los siguientes libros.

instruments Build your Cant. Título Precio/unid. Total


IVA incl. €
Precio: 42,07 €
own Audio
Valve
Amplifiers
Build your own Precio: 39,67 €
Los precios y las descripciones están sujetas a Sub-total
High-end Audio Forma de pago (vea la página contigua para más detalles)
Nota: Los cheques serán en pesetas y conformados por una entidad bancaria.
cambio. La editorial se reserva el derecho de
cambiar los precios sin notificación previa. Los Gastos envio 3,01
❏ Cheque (nominativo a VIDELKIT, S.L.) precios y las descripciones aquí indicadas anulan
Total
Equipment
las de los anteriores números de la revista.

❏ Giro postal. Cuenta Postal (BBVA)


Precio: 39,67 € Nº 0182-4919-74-0202708815

❏ Fecha de caducidad: Firma:

Número de tarjeta:

Elektor 59
ORDENADOR

Indicador de
Temperatura para PC
Útil y decorativo
Diseñado por A. Köhler

Además del uso puramente funcional, el PC también tiene una posición


como un símbolo de “status”. Así, los nuevos ordenadores que salen al
mercado tienen que hacer gala de alguna novedad para que sean más
llamativos y, si además, esa novedad sirve para algún propósito útil
mucho mejor.
En la actualidad están comenzando a verse en el el cual debe de funcionar. Así, las casas se puede realizar utilizando un sencillo
mercado cajas de ordenador con ventanas e ilu- Intel y AMD especifican el funciona- microcontrolador como el AT 89C2051,
minación interna que nos muestran su interior. miento de sus procesadores para tem- el cual nos permitirá transferir el valor
Éste es un mundo especial para aquellos que se peraturas comprendidas entre 40° y de la temperatura sobre el bus I2C.
decantan por este tipo de montajes de PCs. Así, 45° C en el interior de la caja. Si no es Podemos utilizar un diodo LED de tres
existen diferentes modificaciones cuyos bene- posible disponer de un ventilador espe- colores como indicador, sustituyendo
ficios pueden ser más o menos justificables, y cífico barato, no será posible retirar de el diodo LED indicador de encendido
existen otras que tienen un propósito muy útil. forma efectiva el calor generado por el de un solo color que, normalmente,
Por ejemplo, la temperatura en el interior de procesador a temperaturas superiores viene montado en la caja de nuestro
la caja de un ordenador es un parámetro impor- a 70° C. En cualquier caso, la tempera- ordenador. El diodo LED de varios colo-
tante a tener en cuenta. Dependiendo del pro- tura límite de funcionamiento es de res está construido a partir del cuatro
cesador, de los ventiladores y de las condicio- 125° C, como máximo, en la unión pequeños LEDs que se combinan en
nes ambientales, la temperatura puede aumen- entre el radiador y el microprocesador. tres colores: uno rojo, uno verde y,
tar hasta el punto en el que el funcionamiento Un ordenador de oficina normalmente debido a su baja intensidad luminosa
del microprocesador no está garantizado sin será utilizado en un entorno que suele de salida, dos más para el color azul.
riesgo de que surjan algunos problemas. Exis- tener aire acondicionado y en muchas Para poder dar la impresión de mostrar
ten algunas pantallas especiales, a menudo en ocasiones cualquier usuario puede un único color compuesto, se ha utili-
conjunción con los sistemas de ventilación, que desear que su ordenador funcione a zado un encapsulado difusor de luz. Si
ofrecen la lectura de esta temperatura. Por des- temperatura ambiente por debajo de dispusiésemos de un diodo LED indi-
gracia, estas pantallas ocupan una bahía de 3, los 15° C, de manera que los elementos vidual de este tipo, bastaría con añadir
5” o de 5,25” en la caja del ordenador. Pero tam- del ordenador estén un poco por debajo una lámina de difusor para que se pro-
bién tenemos que recordar que los PCs dispo- de esta temperatura. dujese el resultado con el efecto dese-
nen de un diodo LED verde, amarillo o rojo, que Por tanto, no estaríamos fuera de ado. La impresión de ver diferentes
sirve para indicarnos que el ordenador está lugar si configuráramos nuestro rango colores se consigue controlando los ele-
encendido. ¿Por qué no utilizar este sencillo de medida entre los + 10° y los + 70° C. mentos LEDs individuales, utilizando
indicador para ofrecer funciones adicionales Utilizando estos valores podemos usar señales moduladas en ancho de pulso,
como mostrar la temperatura en el interior de la las superficies superiores de los discos provenientes del microcontrolador.
caja de la CPU? duros y los radiadores como puntos
donde realizar la medida de tempera- El circuito
Antes de comenzar tura. El sensor de temperatura emple-
ado debe ser un modelo que se pueda La Figura 1 nos muestra el esquema
Antes de que podamos construir un indicador conseguir fácilmente y que, además, eléctrico completo que utiliza un
de temperatura lo primero que tendremos que sea barato, como puede ser el LM 75 (o microcontrolador 89C2051 de la casa
hacer es determinar el rango de temperatura en el DS 75). La lectura de la temperatura Atmel. El hermano pequeño de este

60 Elektor
ORDENADOR
componente, el 89C1051 (que ofrece 1 +5V
Kbyte de memoria), también puede
usarse en el circuito. El circuito de C1 C2
reset presente en el terminal 1 no es
10µ 100n R4
crítico: el valor del condensador puede 10V

2k2
ser cualquiera comprendido entre 1 y 20
R6
T1
10 µF, mientras que la resistencia R1

2k2
1
RST 10k
poder tomar cualquier valor compren- P1.0
12 T2 R9
IC1 R2
dido entre 10 y 50 KW. Del mismo BC557

2k2
2 13
P3.0 P1.1 10k
3 14 T3
modo, el circuito oscilador colocado P3.1 P1.2 R3
6 15 BC557
entre los terminales 4 y 5 tampoco es 7
P3.2 P1.3
16
10k
P3.3 P1.4
crítico: se han probado cristales de 8 17 BC557
P3.4 P1.5 8
cuarzo con frecuencias comprendidas 9
P3.5 P1.6
18

entre 7,3728 y 14,318 MHz. El diodo AT89C2051 P1.7


19 2
SCL
11 1 3
LED de tres colores está conectado a P3.7 SDA OS
R5 R7 R8 R10
través de un transistor PNP que lo X1 X2 IC2

220Ω

560Ω

560Ω

330Ω
R11 7
10 5 4 A0
controla. Se ha utilizado un diodo LED X1 6
22k

A1 LM75
de 5 mm en un encapsulado difusor: 5
A2 D1 D2 D3 D4
componentes válidos de este tipo C3 C4
4
podemos encontrarlos, por ejemplo, en green blue blue red
22p 22p
la casa Reichelt Electronics (www.rei-
chelt.de) y en Conrad Electronics 11.0592MHz 020380 - 11

(código de pedido 185353, www.int.


conradcom.de). El diodo LED ha sido Figura 1. Sencillo esquema eléctrico del indicador de temperatura.
fabricado por la casa Kingbright, bajo
el código de pedido LF59EMBGMBW. para limitar la corriente. Estos valo- Los programas
Debemos advertir que muchos com- res de resistencia pueden ajustarse,
ponentes anunciados como diodos ya que el diodo LED dispone de una La parte más interesante de este proyecto es el
“LED tricolores” no son adecuados tolerancia relativamente amplia. programa. El programa está disponible como
para esta aplicación. El componente El puerto Port 1.0 controla el diodo código fuente y también compilado en Inter-
de la casa Kingbright que necesita- LED verde, el puerto Port 1.1 lo hace net, en el sitio web de Elektor (que es gratuito)
mos dispone de seis terminales (ver con el diodo LED azul y, por último, el y en disquetes con código de pedido 020380-
también las hojas de características puerto Port 1.2 hace lo propio con el 11 (para el que existe un pequeño coste fijo). Si
que se pueden encontrar en el servi- diodo LED rojo. La corriente del diodo no queremos o no deseamos programar el
dor web de la casa Conrad). LED debe estar en torno a los 20 mA.
Cada transistor BC 557 dispone de La comunicación con el sensor de
una resistencia base serie y una re- temperatura se realiza sobre los puertos Descargas gratuitas
sistencia”push-pull” para asegurar Port 1.4 (la señal de reloj SCL) y Port 1.5 Fichero con código fuente (en alemán) y
que el transistor se desconecta total- (la señal de datos SDA). El sensor de fichero hexadecimal para la programación
mente. Debido a las diferentes ten- temperatura LM 75CIM5 (la versión de del microcontrolador.
siones inversas y a las diferentes + 5 V) tiene su dirección de escritura Fichero nº: 020380-11.zip
intensidades de luz de los diodos configurada en 90H, mientras que su www.elektor-electronics.co.uk/dl/dl.htm
LED, se requiere utilizar también dirección de lectura es la 91H, según (seleccionar mes de publicación).
diferentes valores de resistencias los niveles de las líneas A2, A1 y A0.
ORDENADOR
componente por nosotros mismos, también en la tabla. El primer byte propor- valores en la tabla.
está disponible un microcontrolador ya pro- ciona el tiempo durante el que el El programa ejemplo que se pro-
gramado con código de pedido 020380-41. diodo LED verde estará iluminado. porciona indica una temperatura
Los detalles del programa pueden verse en El diodo LED verde se enciende y se baja con un color azul. A medida
el listado del mismo. El programa utiliza introduce un lazo de retardo. Lo que la temperatura aumenta, se
modulación de ancho de pulso, controlado por mismo sucede para los diodos LEDs incrementa la proporción de verde
un lazo de programa en lugar de utilizar tem- azul y rojo. Los diodos LEDs de color en el color. A una temperatura de 35
porizadores internos. El programa comienza primario se controlan en el interior ° C el diodo LED verde es el único
con la inicialización de los puertos de salida. de un lazo que se ejecuta 255 veces, que está encendido, si la tempera-
Se ha asignado un registro para cada color, de de manera que el ojo no puede dis- tura aumenta más allá, se añade
manera que en él se almacena el tiempo tinguir los colores individuales. En parte de rojo en el color. A partir de
durante el cual el correspondiente diodo LED lugar de ello, se da la impresión de los 50° C sólo se ilumina el diodo
estará iluminado. A continuación, el pro- un color y una intensidad particular, LED rojo.
grama selecciona el registro de temperatura dependiendo de la constante de Puesto que el máximo desplaza-
del LM 75 y le da el valor de temperatura tiempo y, por lo tanto, de la tempe- miento disponible es de 255 (se uti-
almacenado en él. Solamente se han utilizado ratura. liza un registro de ocho bits para la
los cuatro bits más significativos. Los cuatro Un punto particular a señalar es temperatura), la tabla sólo puede
bits menos significativos de la lectura de tem- que el valor 00H para una cierta extenderse hasta los 70° C, pero pro-
peratura serán ignorados. Puesto que no se constante de tiempo se corresponde bablemente ningún ordenador de ofi-
ha utilizado el rango completo de temperatura con el periodo de iluminación cina funcionará por encima de esta
de este componente, la escala de temperatura máximo posible, ya que la primera temperatura. La comunicación con el
se ha desplazado para que comience a 10° C, vez que se ejecuta la instrucción sensor de temperatura utiliza las ruti-
restando “0AH” al valor leído. Este valor tiene DJNZ en el lazo, se produce un des- nas del bus I2C START, STOP, READ
que convertirse en un valor que se corres- bordamiento. Con un valor de 01H y WRITE en su configuración míni-
ponda a un color. Cada valor de color está el período de iluminación es tan ma. En el bus I2C no se ha propor-
compuesto por tres constantes de tiempo que breve que es prácticamente invisi- cionado una gestión de errores para
determinan la duración del correspondiente ble al ojo. La rutina de retardo ZEIT detectar errores en la trasmisión,
diodo LED de color primario que será ilumi- se emplea para aumentar el periodo aunque no tendrá grandes conse-
nado. Las constantes de tiempo se obtienen de iluminación (de otro modo muy cuencias. Con el listado del código
a partir de una tabla indexada por la tempe- corto). Si se cambia la frecuencia fuente en la mano no debe ser difícil
ratura. La rutina ZAU es la encargada de que del cristal puede que sea necesario realizar modificaciones para la visua-
se muestre el valor del color. ajustar de alguna manera este lización.
El puntero de datos se usa para apuntar retardo. Los valores proporcionados (020380-1)
en el interior del contenido de la tabla los han sido verificados con un cristal
valores de tiempo de iluminación. Cada valor de 11,0592 MHz. Los colores pue-
de temperatura utiliza tres bytes de espacio den cambiarse modificando los

CORRECCIONESYERRATAS
A B
Filtros de cruce con 115VAC 115VAC
tubos de vacío
Nº 274, (020297-1)
115VAC 115VAC
En los dibujos de la Figura 3, página 33 del
artículo, hay varios errores.
En la Figura 3a, se muestran dos cone-
xiones que no son necesarias y que además 115VAC 230VAC
dañarían el montaje, mientras que las eti-
quetas ‘115 V’ y ‘230 V’ en las figuras 3a y
3b deberían ser transpuestas. También
15VAC 15VAC
todos los transformadores secundarios debe-
rían estar marcados con ‘115 V’, no ’15 V’.
Para evitar que estos cambios no se entien-
dan, hemos hecho los dibujos de forma
correcta. 15VAC 15VAC

020297- 13

62 Elektor
LIBROS
DVD, DivX y otros GNU/Linux Hacker Redes locales y
formatos de Vídeo Digital Por Francisco Charte Por Carlos Míguez seguridad digital
Por Julio Crespo ISBN 84-415-1544-1 Pérez, Justo Pérez Por Hrair Aldermeshian,
Viñegra 720 páginas Agudín, Abel Thomas B. London
ISBN 84-415-1541-7 Editorial Anaya Mariano-Matas García ISBN 84-415-1549-2
448 páginas Multimedia ISBN 84-415-1538-7 368 páginas
Editorial Anaya 976 páginas Editorial Anaya
Multimedia Editorial Anaya Multimedia
Multimedia

GNU/Linux es una platafor-


ma para el desarrollo de apli-
caciones aceptada mundial-
La revolución digital que es- mente por usuarios, institu-
tamos viviendo ha puesto a ciones y gobiernos para la La biblia del Hacker ofrece
nuestro alcance tanto el cual hay disponibles multi- al lector un una visión de- Crear una red local de orde-
software como el hardware tud de lenguajes, bibliotecas tallada de la seguridad in- nadores y conectarla a Inter-
necesarios, para poder rea- y herramientas que, en su formática en ordenadores, net utilizando la banda an-
lizar de forma fácil y senci- mayor parte, siguen la filo- redes e Internet, con el ob- cha y alta velocidad, se ha
lla tareas que hasta hace sofía de código de uso libre. jetivo de conseguir la má- convertido en una tarea co-
poco tiempo sólo estaban al Linux es el sistema operati- xima seguridad en sus sis- mún en hogares y empresas.
alcance de los profesionales vo para el que existe un temas. Se tratan los méto- Hoy en día prácticamente
de la edición de vídeo. mayor número de lenguajes, dos y técnicas utilizados todo el mundo puede realizar
El cambio del vídeo analó- compiladores, bibliotecas y por los intrusos así como conexiones de forma rápida
gico al vídeo digital, junto otras herramientas de des- las contramedidas utiliza- y sencilla, por medio de sim-
con la aparición de las vi- arrollo, lo cual permite a los das en redes Windows y ples instrucciones que redu-
deocámaras digitales, ha programadores elegir lo que Linux/Unix. cen costes y aumentan su
incrementado el interés de más se adecúa a los conoci- Esta obra está dirigida seguridad y la de la Web.
los aficionados por todo lo mientos y necesidades de tanto a profesionales téc- En esta Guía Práctica en-
relacionado con el vídeo di- cada proyecto en particular. nicos como a esas perso- contrará la información ne-
gital (edición, producción, El lenguaje de programación nas con conocimientos cesaria para que la tarea de
así como la conversión en por excelencia en Linux es medios de informática que ensamblar, instalar y mani-
diferentes formatos). C, ya que gran parte del sis- siempre han deseado des- pular no resulte complicada,
En esta obra podrá encon- tema, como ocurriera con cubrir y aprender de una aun cuando no se tengan
trar todo lo que necesita Unix, se ha escrito con ese manera guiada, las técni- conocimientos sobre redes
para realizar un completo lenguaje. En cualquier insta- cas y métodos de incur- o hardware informático.
proceso de conversión de lación de Linux existe un sión utilizados por los asal- Aprenderá a crear una red
un DVD a CD en los forma- compilador de C, así como tantes de ordenadores e local, doméstica o empresa-
tos DivX, MPG, XviD, VCD, archivos de cabecera y bi- Internet, y saber las con- rial, conectarla a Internet,
SVCD, MOV, RM, con soni- bliotecas estáticas y dinámi- tramedidas adecuadas que compartiendo impresoras y
do AC3 Dolby Digital, MP3 cas. No necesitamos, más el administrador de siste- archivos a partir de ejemplos.
y OGG. Mediante breves que un editor simple y algo mas o un usuario tiene a Se describe el acceso me-
ejemplos con los programas de documentación, normal- su alcance para neutralizar diante DSL y cable, redes
de creación más importan- mente también incluida en un ataque. convencionales e inalámbri-
tes de cada categoría (ex- el sistema, para comenzar a ¡El CD-ROM que acompa- cas, así como se argumentan
tractores, encoders, edito- programar con el lenguaje C. ña al libro contiene VIRUS, las ventajas de éstas últimas.
res de vídeo y utilidades), El objetivo de este libro es TROYANOS y EXPLOITS! Este libro es útil tanto para
aprenderá a dominar la cre- introducirle al mundo de la Anaya Multimedia no se principiantes como para ex-
ación de sus propias pro- programación en Linux, mos- responsabiliza del uso pertos, que detalla cómo ges-
ducciones y crear copias de trándole qué opciones hay inapropiado de los mis- tionar los programas y las re-
seguridad de sus películas. disponibles y cuál es su apli- mos. La inclusión de estos des. Se trata de una exce-
Incluye CD-ROM con dife- cación concreta. archivos sólo tiene carác- lente guía para redes con-
rentes utilidades y herra- Incluye CD-ROM con los ter didáctico para mostrar vencionales e inalámbricas,
mientas que le resultarán de ejemplos del libro, bibliote- los métodos de ataque y con cable o DSL que culmina
gran utilidad para llevar a cas y herramientas de de- los respectivos métodos de con un abanico de términos
cabo sus propias películas. sarrollo para GNU/Linux. defensa. y explicaciones avanzadas.

Elektor 63
ORDENADOR

Interfaz USB–RS232
Una solución compacta para la falta de puertos
Diseñado por L. Lemmens

Gracias a un circuito integrado especial de la casa FTDI Chip, los periféricos


de ordenadores con una interfaz RS 232 pueden conectarse fácilmente a un
puerto USB. Esta solución tan sencilla es ideal si tenemos un periférico que
no dispone del correspondiente puerto USB, o si nuestro ordenador portátil
no dispone de un puerto RS 232 libre, o ninguna de las dos cosas.

Después de un lento y vacilante comienzo,


el puerto USB se ha convertido en un ele-
mento común e indispensable de los orde-
nadores, de tal manera que los últimos orde-
nadores que trabajan con velocidades de
GHz tan sólo suelen tener un puerto RS 232
y en algunos casos ninguno. El interfaz
USB–RS 232 compacto que se describe en
este artículo nos permite conseguir que
nuestros buenos y viejos periféricos RS 232
(como impresoras, sistemas de programa-
ción, etc.,) puedan conectarse a un puerto
USB. Los programas controladores gratuitos
para los sistemas operativos Win 98, ME,
2000, XP, Linux y Apple Macintosh, hacen
que la interfaz sea virtualmente transpa-
rente, permitiendo que el puerto USB se
comporte como una interfaz COM tradicio-
nal. El controlador y el circuito de conver-
sión de la casa FTDI Chip, con base en Glas-
gow, permite un enlace de datos serie com-
pleto que se puede configurar a través de un
conector RS 232 de nueve terminales, inclu-
yendo todas las señales de gestión del Función y arquitectura del circuito integrado FT 232AM,
puerto. Un representante en el Reino Unido mientras que la asignación de ter-
de la casa FTDI Chip es Alpha Micro Com- Si sólo deseamos informarnos del uso minales se muestra en la Figura 2.
ponents Ltd, en Basingstoke (www.alpha- del circuito que estamos descri- Al igual que el circuito integrado
micro.net). En la página “Sales Network” biendo, no es necesario conocer FT 245AM, miembro de la familia,
podemos encontrar distribuidores interna- todas las entradas y salidas del cir- el circuito integrado FT 232AM de
cionales de productos de la casa FTDI Chip, cuito integrado conversor, aunque la casa FTDI Chip es, en esencia,
a la cual se puede acceder a través de la será muy útil tener una idea de lo que un circuito FIFO serie USB (“Fisrt
dirección www.ftdichip.com. La casa FTDI está sucediendo en el interior de esta Input, First Output, es decir, Pri-
Chip tiene representantes en la mayoría de “caja negra”. mero en Entrar, Primero en Salir), el
los países del mundo y dispone de una En la Figura 1 se muestra el cual está controlado por el ordena-
página web muy útil. diagrama de bloques simplificado dor mediante un puerto COM vir-

64 Elektor
ORDENADOR
VCC
48MHz Generador de
Velocidad de
Comunicación

3.3 Volt
3V3OUT Buffer TX de
LDO
Doble Puerto
Regulador
128 bytes TXD
RXD
RTS#
CTS#
DTR#
DSR#
USBDP DCD#
Gestor Interfaz RI#
USB Gestor de UART UART
Serie
emisor/ Protocolo USB FIFO Controlador
USBDM ( SIE )
receptor
TXDEN
USBEN

TXLED#
RXLED#

Buffer RX de
Doble Puerto
USB DPLL
384 Bytes

EECS
Interfaz EESK
EEPROM EEDATA

XTOUT 48MHz GND


6MHZ x8 Clock RESET#
oscilador multiplicador SLEEP#
XTIN 12MHz TEST
PWRCTL

RCCLK
020375 - 13

Figura 1. Diagrama de bloques simplificado del conversor USB/RS 232 del tipo FT 232 AM (cortesía de FTDI Chip).

tual. La diferencia entre estos dos En el lado del puerto USB, los a un condensador externo de desacoplo,
componentes es que el FT 232AM dos circuitos integrados son idénti- sino también para que pueda definirse el
posee una UART que contiene una cos y no es sorprendente que con- modo USB de Alta Velocidad. Con referen-
interfaz RS 232 que utiliza niveles tengan los mismos controladores en cia al esquema eléctrico del circuito que se
TTL. El circuito integrado FT las secciones más importantes. muestra en la Figura 3, esto se consigue
245AM, por su parte, dispone de Desde el punto de vista de las utilizando la resistencia R6 y llevando la
una interfaz de ocho bits que entradas, un transceptor USB forma línea “D +” a nivel de + 3,3 V. Este nivel pro-
maneja las líneas que permiten el el enlace con el cable USB y con voca que el dispositivo USB receptor (Host,
acceso directo a la pila FIFO sus dos señales por cable, denomi- por ejemplo, el controlador USB del ordena-
interna del circuito integrado. Esto nadas “D +” y “D –” para el modo dor) reconozca nuestro interfaz como un dis-
hace que el circuito integrado 245 USB 1.1 de Alta Velocidad. La ten- positivo de Alta Velocidad y lo configure
sea particularmente interesante si sión de referencia de 3,3 V, necesa-
tenemos que actualizar un sistema ria para el transceptor USB, la sumi-
RCCLK

XTOUT

microcontrolador existente con una nistra un regulador interno de baja


AGND
AVCC
EECS

XTIN

VCC
TXD

interfaz serie adicional. Sin embar- caída de tensión cuya salida está
go, debemos señalar que tendre- disponible en el terminal 6 del cir-
mos que proporcionar el programa cuito integrado. Esto no se ha rea- 32 25
necesario asociado, generado por lizado así tan sólo para permitir que EESK 1 24 RXD
nosotros mismos. la tensión de referencia se aplique
FTDI
EEDATA RTS#
VCC CTS#
RESET# DTR#

Información de Contacto de FTDI Chip


TEST
3V3OUT
FT232AM DSR#
DCD#

USBDP RI#
Future Technology Devices International Ltd., USBDM 8 XXYY 17 GND
St. George’s Studios, 93-97 St George’s Road, Glasgow G3 6JA, United Kingdom.
9 16
Tel.: (+44) (0)141 353 2565, Fax: (+44) (0)141 353 2656.
URL: www.ftdichip.com
VCC

TXDEN
GND

RXLED#

PWRCTL
USBEN
TXLED#
SLEEP#

UK distributor:
020375 - 14
Alpha Micro Components Ltd, Springfield House, Cranes Road,
Sherbourne St. John, Basingstoke, Hants RG24 9LJ, United Kingdom.
Tel : (+44) (0)1256 851 770, Fax: (+44) (0)1256 851 771. Figura 2. Conexión de los terminales del FT
URL: www.alphamicro.net 232AM en encapsulado QFP ( 7 x 7 mm)
(cortesía de FTDI Chip).

Elektor 65
ORDENADOR
+5V +5V +5V

R3 C6 R9 R11 R10
C1

470Ω
10k
100k

470Ω
8
100n
10n
T1
3 4 AVCC
DI DO
7 IC2 6 RCCLK
PRE PE
2 1
BC857 SK CS C4 C5
R2 R1
93C46 R12
100n 100n

2k2
470k

100k

5
RESET +5V

C13 C14
+5V
100n 10µ
16V
C8
D1 D2
C11
100n
L1
R7 R8 3 13 26 100n
C7 13
1k

1k

2 30 11 V+ 12
EEDATA AVCC C1+ C9
10µ 16V 1 32 24
EESK EECS EN K1
11 31 IC3 14
RXLED C1– 100n
12
IC1 RCCLK 19 26 27 DCD 1
TXLED DCD R3OUT R3IN
4 20 5 4 DSR 6
RESET DSR R2OUT R2IN
15 24 22 23 RXD 2
USBEN RXD R4OUT R4IN
R5 16 23 20 1 RTS 7
D+ TXDEN RTS T3IN T3OUT
10Ω 25 7 2 TXD 3
K2 FT232AM TXD T1IN T1OUT
3 7 22 8 9 CTS 8
R4 USBDP CTS R1OUT R1IN
2 D– 8 21 6 3 DTR 4
10Ω USBDM DTR T2IN T2OUT
1 6 18 19 18 RI 9
3V3OUT RI R5OUT R5IN
R6 5 10 21 28 5
C3 TEST SLEEP T4IN T4OUT
1k5

USB-B 4 14 29 15
PWRCTL AGND MAX213 C2+ C10
10n 25
XIN XOUT SHDN SUB-D9
10 16 100n
9 27 28 17 C2–
X1 V-
C2
17
C12
33n
6MHz
100n
020375 - 11

Figura 3. Esquema eléctrico de la interfaz USB/RS 232.

para un direccionamiento adecuado. En el dad y el Dual Port RX Buffer, es decir, El “Baud Rate Generator” (es
caso de un dispositivo de Baja Velocidad, la Buffer RX de Doble Puerto, con 384 decir, el “Generador de Velocidad de
línea “D –“ se mantiene a + 3,3 V con la bytes) se configuran para el inter- Comunicación”) permite que la velo-
ayuda de una resistencia. Detrás del trans- cambio de datos en ambas direccio- cidad de datos serie pueda configu-
ceptor USB encontramos un bloque funcional nes (entre el Gestor de Interfaz Serie y rarse entre 300 bits/s y 2 Mbits/s
identificado como “Serial Interfaz Engine” los registros de la UART). El bloque (actualmente, hasta 920 Kbits/s para
(es decir, “Gestor del Interfaz Serie”), el cual identificado como “UART FIFO Con- RS 232 y hasta 2 Mbits/s para RS 422
controla la conversión paralelo a serie y troller” (es decir, “Controlador FIFO y RS 485).
serie a paralelo de los datos USB. A conti- de UART”) es el responsable del pro- La “Interfaz EEPROM”, ubicada
nuación, el “USB Control Engine” (es decir, ceso de intercambio entre los dos en el interior del circuito integrado
“Gestor de Control USB”), procesa la infor- buffers y los registros de trasmisión y FT 232AM, está pensada para reali-
mación de control USB y vigila la comuni- recepción de la UART. zar la conexión de un circuito inte-
cación con el controlador receptor USB (de Funcionalmente, la propia “UART” grado de memoria EEPROM 93C46
acuerdo con el protocolo USB de Bajo Nivel), no es la misma que podemos encon- externo. Aunque el circuito inte-
así como los comandos que definen los trar en un ordenador tradicional. Su grado FT 232AM trabajará sin mayo-
parámetros de funcionamiento de la UART. función es la de proporcionar todas res problemas sin tener que añadir
Los “buffers” para la “recepción” y la “trans- las señales relevantes para la interfaz una memoria de datos no volátil, la
misión” (Dual Port TX Buffer, es decir, Buffer RS 232, además de para los interfaces interfaz informará que se trata de un
TX de Doble Puerto, con 128 bytes de capaci- RS 422 y RS 485. dispositivo serie estándar. La

66 Elektor
y
consigue
15
números
pagando
el precio de
12
También puedes renovar tu suscripción haciendo uso de nuestra...

LÍNEA DE SUSCRIPCIONES
91 - 677 70 75
pubpress

Envíe este cupón a :Editorial LARPRESS S.A.

BOLETÍN DE SUSCRIPCIÓN
C/ La Forja Nº 27 - 28850 Torrejón de Ardoz (Madrid)
Tel.: 91 677 70 75 - Fax: 91 676 76 65
E-mail: suscrip@larpress.com
(Oferta válida únicamente en España. *Válido hasta fin de existencias)
Deseo suscribirme a Elektor por el período de un año. El precio de esta suscripción (43,20 €) DATOS BANCARIOS (si elijo domiciliación)
incluye 15 números de la revista al precio de 12.
Titular de la cuenta . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Nombre y apellidos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Dirección . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Banco o Caja . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
C. Postal . . . . . . . . Población . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Rogamos atiendan los recibos que les presente LARPRESS S.A., en concepto de suscripción de la revista.
Provincia . . . . . . . . . . . . . . . . .Teléfono . . . . . . . . . . . . . . . . . . . . . . .NIF . . . . . . . . . . . . . . . . . . . CUENTA LIBRETA
Firma del titular de la cuenta o tarjeta
Edad . . . . . . . . . . . . . E-Mail . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
❑ Particular ❑ Empresa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Entidad Oficina DC
FORMA DE PAGO
❑ DOMICILIACIÓN BANCARIA
❑ CHEQUE A NOMBRE DE LARPRESS. S.A. adjunto a este boletín. Número de cuenta
❑ CONTRA REEMBOLSO (Imprescindible en pago con tarjeta)
❑ TARJETA DE CRÉDITO
❑ Visa ❑ 4B
DATOS TARJETA: Nº. de la tarjeta
caduca
mes año
Usted tiene derecho a acceder a la información que le concierne recopilada en nuestro fichero de datos, y rectificar si es errónea o cancelaria. Si no desea recibir información comercial de esta u otras empresas le rogamos nos lo haga saber mediante comunicación escrita junto con sus datos personales.
ORDENADOR
D1C14
D2 LISTA DE MATERIALES
K2

C10

C11
Todos las resistencias y Bobinas:

C9
C12
C7 condensadores son SMD con L1 = BLM 31A60IS (Murata) (por
R1

IC3
L1
R2

T1
encapsulado 1206 ejemplo, Farnell # 581-094)
C13
R3
C1
C2
Resistencias: Semiconductores:

K1
C5 R1,R3,R10 = 100k D1,D2 = diodo LED 3 mm de diámetro
020375-1 R10
X1 R2 = 470k T1 = BC857
R4,R5 = 10Ω IC1 = FT 232 AM o FT 232 BM (FTDI
R6 = 1k5 Chip, código de pedido FT8U232AM
R7,R8 = 1k IC2 = 93C46 (Opcional)
R9 = 10k IC3 = MAX213ECWI
R11 = 470Ω
(C) ELEKTOR
020375-1

R12 = 2k2 Varios:


K1 = Conector Sub-D de 9
Condensadores: terminales (hembra). Terminales en
C1,C3 = 10nF ángulo recto para montaje en placa
C2 = 33nF de circuito impreso
C4,C5,C6,C8-C13 = 100nF K2 = Conector USB, Tipo B, para
C7,C14 = 10µF, condensador montaje en placa de circuito impreso
C4 R11 electrolítico de 16 V radial X1= Oscilador cerámico de 6 MHz
R9

R12
C6

IC1
IC2

(C) ELEKTOR
020375-1
R6

R5
C8
R4

C3
R8
R7

Figura 4. Diagrama de pistas y distribución de Figura 5. Placa de nuestro prototipo totalmente acabado y funcional, visto por su
componentes de la placa de circuito impreso parte superior...
diseñada para este interfaz.

pequeña memoria EEPROM permite que los


datos específicos, como los códigos del iden-
tificador de fabricante y de producto (VID, ID
del vendedor; aPID, ID del producto), núme-
ros de serie, etc, estén almacenados de forma
permanente y sean conocidos por el sistema
operativo. Debemos señalar que la memoria
EEPROM no es obligatoria cuando dispone-
mos de varios convertidores USB/RS 232, que
utilizan circuitos integrados de la casa FTDI
Chips, conectados a un ordenador. Esto es
debido a que los controladores sólo instala-
rán los puertos COM virtuales para los con-
vertidores con un único número de serie. Sin
el número de serie (por ejemplo, sin la memo-
ria EEPROM), sólo se puede instalar un
puerto COM virtual. Figura 6. ...y por su cara inferior.

68 Elektor
COMPLETA
TU COLECCIÓN

265 266 267 268

269 270 271 272


pubpress

273 274 275 276

Sí, deseo recibir contra reembolso los números de ELEKTOR que indico a continuación, al precio de portada, más gastos de envío. (Se
servirán sólo los números que no estén agotados al tiempo de recibir la orden de pedido).

Deseo Recibir los números: __________________________________________________________________


Nombre:..................................... Apellidos:............................................................................................................................................
Dirección:............................................................................................................... Nº:......... Piso:........... Tel.:....................................
C.P.:............... Población:........................................... Provincia:........................................ e-mail:........................................................................
Enviar este cupón a LARPRESS, S.A. C/ La Forja, 27-29 Torrejón de Ardoz 28850 Madrid. Tel.: 91 677 70 75 Fax: 91 676 76 65 suscrip@larpress.com
Usted tiene derecho a acceder a la información que le concierne, recopilada en nuestro fichero de datos y a cancelarla o rectificarla de ser erronea. Si no desea
recibir información comercial de esta u otras empresas, le rogamos nos lo haga saber mediante comunicación escrita con todos sus datos personales.
ORDENADOR
Esquema Eléctrico 2 3 4 1 = +5V
1
USB-A 2 = D-
El esquema eléctrico del circuito que se 3 = D+
4 = GND
muestra en la Figura 3 parece mucho menos
1
complicado de lo que es. En la esquina supe- 2
rior izquierda encontramos un circuito de 3
reset de encendido que está formado por el 4
transistor T1 y la red de retardo personalizada
R–C. Justo al lado de este circuito está la
memoria (opcional) EEPROM. En la “fila”
3 2 4
inmediatamente inferior podemos encontrar, USB-B 1 = +5V
2 = D-
desde la izquierda hacia la derecha, el conec- 3 = D+
tor USB-B, el circuito integrado FT 232AM, 4 = GND
un MAX 213 y, por último, el conector RS 232. 3 2
El circuito recibe su tensión de alimenta-
ción de + 5 V desde el ordenador, a través 4 1
del terminal 1 del conector USB (K2). La
pequeña bobina de choque (L1) y el con-
densador C7 se encargan de proporcionar la 020375 - 12
suficiente cantidad de desacoplo para evi-
tar la presencia de ruido en la línea de ali- Figura 7. Asignación de terminales en los conectores USB-A y USB-B.
mentación. Además, todos los circuitos
integrados tienen su propio desacoplo de
alimentación.
Como ya hemos mencionado, la resistencia Instalación
R6 conecta la línea USB “D +” a la tensión de +
Como todos sabemos, los dispositivos USB pueden conectarse “en caliente”
3,3 V, de manera que se indique al circuito
sobre el ordenador. El sistema operativo reconocerá la interfaz y solicitará el con-
receptor USB que el interfaz es un dispositivo
trolador asociado. Dicho controlador puede descargarse de la página de controla-
de “Alta Velocidad”. La misma resistencia
dores y utilidades de la web de la casa FTDI Chip. El denominado controlador
también dispara el reconocimiento de un dis-
Virtual COM Port (VCP, es decir, Puerto COM Virtual) se configura para que la
positivo USB cuando el cable interfaz se
interfaz se comporte como un puerto serie ordinario. Existen controladores para
conecta a un puerto USB del ordenador o en
Windows, para el sistema operativo Mac y para Linux. En nuestro caso asumimos
un circuito “Hub”.
que estamos utilizando controladores para Windows.
Es muy de agradecer la posibilidad de que
dispone el circuito integrado FT 232AM de
Una vez instalado el controlador, el puerto COM simulado puede ser direccionado
controlar dos salidas para diodos LEDs, que
por las distintas aplicaciones de la misma manera en que se accedería a un puerto
permiten activar la visualización de la trans-
serie regular del sistema. Para los lenguajes de alto nivel como Delphi y C ++ se
misión de datos (D1) y de la recepción (D2) de
permite utilizar “componentes” como Tcomport para realizar la comunicación con
los mismos.
la interfaz serie. Si en lugar de esto estamos realizando nuestra propia programa-
Aunque las dos redes R-C, R11-C4 y R10-
ción, recomendamos utilizar el “D2XX “Direct” Drivers” (Controladores
C5, son idénticas en valores, sus funciones
“Directos” D2XX) para Windows en lugar del controlador VCP. Debemos utilizar
son bastante diferentes. La combinación de
un controlador directo para poder programar la memoria EEPROM externa.
R10-C5 en el terminal RCCLK realiza la fun-
ción de una red de temporización que ase-
Los controladores VCP de Windows copiados desde la página web de FTDI vienen
gura la estabilidad del reloj cuando el circuito
en dos versiones: con y sin PNP. Esto no tiene nada que ver con el propio interfaz
integrado FT 232AM serie “despierta” desde (el cual siempre será reconocido automáticamente por Windows). Tan sólo tiene
el modo “Sleep” (“Dormido”), en el momento relación con el circuito conectado a la interfaz (ver el apartado “Programas”).
del arranque. La otra combinación R-C, es El controlador VCP descargado viene en un fichero .zip que contiene los contro-
decir, R11-C4, tan sólo desacopla la tensión ladores para Windows 98, ME, 2000 y XP. El fichero comprimido .zip se tiene que
en el terminal AVCC (tensión de alimenta- descomprimir sobre el disco duro. La página web de la casa FTDI Chip propor-
ción analógica), la cual alimenta el multipli- ciona documentación extensa de las últimas novedades sobre el programa y su
cador de x8 del reloj interno. proceso de instalación.
El circuito integrado MAX 213 y su con-
densador de carga externa, sólo se utilizan El sistema operativo Windows lanzará automáticamente su “New Hardware
para convertir la señal de + 5 V en el lado del Wizard” (“entorno de detección de nuevo circuitos”), en el momento en que la
puerto RS 232 del circuito integrado FT interfaz se conecte al puerto USB del ordenador. A continuación nos preguntará
232AM, en verdaderas señales RS 232 (por sobre la localización donde el sistema operativo puede encontrar los controlado-
ejemplo, para que tengan una polaridad balan- res. Tendremos que buscar en nuestro disco duro para averiguar el lugar donde
ceaba). Normalmente, esto debería significar, están los ficheros descomprimidos. Después de un cierto tiempo, la aplicación de
aproximadamente, ± 12 V, pero, en la práctica, instalación encontrará el fichero FTDIBUS.INF e instalará los controladores y el
tan sólo se consiguen ± 8 V, con un máximo programa asociado para la interfaz.
de hasta ± 10 V.

70 Elektor
¡YA A LA VENTA!

La revista de los músicos


de vanguardia
PubPress
ORDENADOR
Placa del circuito
Aunque el esquema eléctrico del circuito
esté bastante ordenado y parezca sencillo,
no podemos decir lo mismo de la placa del
circuito impreso que se muestra en la
Figura 4. La principal razón para esta dis-
crepancia se encuentra en el uso de com-
ponentes SMD. Además, la placa de circuito
impreso es de doble cara y dispone de tala-
dros pasantes, de modo que tiene compo-
nentes montados en ambas caras. Aquellos a
que ya tengan experiencia en el trabajo con
componentes SMD no se sentirán disuadi-
dos por este diagrama de pistas extremada-
mente complejo. Afortunadamente, para
aquellos con menos conocimientos en este
área, Elektor ha publicado recientemente un b
cierto número de artículos muy útiles que
tratan sobre el tema de soltar componentes
SMD (ver el apartado “Referencias” al final
de este artículo). Para los principiantes es
recomendable que se preparen, leyendo
estos artículos y adquiriendo la experiencia
práctica sobre algunas placas y componen-
tes SMD (defectuosos), para evitar errores
en el presente proyecto.
La placa vacía se monta en primer lugar
con los componentes SMD y, a continua- Figura 8. Después de la instalación debemos encontrar un nuevo “USB Serial
ción, con los conectores y zócalos. Uno de COM Port”, es decir, “Puerto COM Serie USB”, bajo el apartado “Port”
los conectores es un modelo USB tipo “B”, (“Puertos”), en el Gestor de Dispositivos (8a), y un nuevo “USB High Speed Serial
para el que se muestra su distribución de Converter”, es decir, “Conversor USB de Alta Velocidad Serie”, bajo el apartado
terminales en la Figura 7. La otra variante “Universal Serial Cus Controler” (“Controlador de Bus Serie Universal”), (8b).
del conector, llamado USB “A”, está siempre
colocado en el lado del ordenador o del dis-
positivo Hub, mientras que el tipo “B” debe Nota: En el momento de escribir este artículo hemos sido avisados por FTDI Chip de
estar situado siempre en el lado del equipo que el circuito integrado FT 232AM ha sido sustituido por el circuito integrado FT 232BM.
que se conecta hacia el ordenador. A través
del cable USB el conector tipo “A” propor-
ciona la corriente necesaria al conector “B”,
del lado del dispositivo USB. En nuestro
caso, esta corriente se utiliza para alimen-
tar la placa del conversor USB–RS 232. Los
cables USB siempre contienen una conexión
de hilos 1:1.

Descargas Gratuitas
– Información de la distribución de pistas de la
placa de circuito impreso (PCB), en fichero
pdf, en www.elektor-electronics.co.uk/
dl/dl.htm. Seleccione el nombre de fichero
020375-11.zip y mes de publicación.
– Hojas de características del circuito inte-
grado FT 232AM, más controladores,
información de módulos ya construidos,
Figura 9. Programa herramienta para la programación (opcional) de la información
etc., en www.ftdichip.com del PID y del VID, en la memoria EEPROM 93C46.

72 Elektor
PC PRO

FREEHAND MX ¿COMPITE CON CORELDRAW E ILUSTRATOR?

Nº 37 PROGRAMA COMPLETO Nº 37 EJEMPLAR GRATUITO

Planos de edificios, piezas mecánicas, logos o


animaciones son todos los proyectos a tu alcance
7 PCs atómicos, ¿cuándo llegarán? COMPLETO
DEMOS
• Eovia Amapi 3D 6.1 PRODUCTOS COMPLETOS
DEMOS Y SHAREWARE
• FreeHand MX
• InstantCopy
• Panda Platinum 7
• Amapi 3D 5.15 • Anno 1503: • Programa de Ayuda • Kaspersky Anti-Virus
2 CD-ROM EN EL INTERIOR • Amapi 3D 5.0
3DStudioMax plug-in
El nuevo Mundo Renta 2002
• Paula en Egipto • PC Inspector File
Personal 4.0.9
• MovieJack 3
• American Conquest Recovery • No Problem Cyber–Servidor
AMAPI 3D (completo): Para el modelado de objetos • Unreal Tournament• Google Monitor 1.2
2003 Bonus Pack One
• Magebane 2.11
• SkyMaps v9
• Universal Documents Converter
• NetCrunch Standard 2.3
60 programas: Imprescindibles para tu ordenador ADEMÁS PODRÁS JUGAR A:
UNREAL 2, THE AWAKENING, EL FLAUTISTA DE HAMELIN, MAGEBANE 2.11 (COMPLETO)
© 2000 LARPRESS VI/2003 D.L.: M-4457-00

Los programas que se incluyen en este CD-ROM se ofrecen “tal cual”.


PC PRO no se compromete a ninguna clase de garantía ni responsabilidad
por un mal uso o fallo de cualquiera de ellos.

I/2003 - D.L.: M-4457-00 ALGUNOS PROGRAMAS DE ESTE CD-ROM NECESITAN


WINDOWS 95/98 Y/O TIENEN
© 2000 LARPRESS VI/2003 D.L.: M-4457-00 FUNCIONALIDADES LIMITADAS

ENFRENTAMOS
LOS 8 EQUIPOS Megatest de placas
QUE MENOS Intel y AMD
modelos
Si no quieres perderte
RUIDO EMITEN... 34 analizados
Switches Ethernet
Dual-speed
entre montañas de teoría,
para grupos de trabajo

12 equipos
porque lo que te interesa
Smart displays
es la informática
Philips y Viewsonic

¿ESTÁ PROTEGIDA TU EMPRESA? TE DAMOS LA SOLUCIÓN


movilizan sus monitores
8 414090 211222
00037 4,90 €
Nº 37
del mundo real,
PC PRO es
tu revista definitiva.

Cada mes en tu quiosco por

Sólo 4.90 €
ORDENADOR
Módulos ya fabricados decir que existe un problema en ción proporcionadas por la casa
nuestra pequeña placa. En algunos FTDI Chip que podemos descargar
Si usted no desea realizar el montaje de pro- casos, todo lo que tenemos que gratuitamente.
yectos sobre placas de ordenador, y en par- hacer es desconectar el conector Por último, debemos hacer men-
ticular con componentes SMD, nos agrada USB, esperar unos segundos e ción de que, por supuesto, existen
comunicarle que la casa FTDI Chip también insertarlo de nuevo, por lo que límites en las prestaciones de un
proporciona módulos interfaz USB–RS 232 ya intentaremos siempre hacer esto en conversor que actúa como simula-
construidos, bajo el código de pedido DLP – primer lugar. Cuando todo funcione dor de puerto RS 232. El control del
USB232M (vea su página web para más correctamente, lo que tendremos flujo de datos es esencial para ase-
detalles). Estos módulos disponen de todas que hacer es buscar en el disco gurar una conversión libre de erro-
las conexiones presentes en los terminales duro de nuestro ordenador el res entre datos RS 232 y datos USB,
de salida de un conector DIP estándar de fichero FTDIUSB.INF y realizar el de manera que se evite la produc-
0,6” de ancho. resto del proceso de instalación. ción de sobrescritura en los
Una vez acabado dicho proceso, dos”buffers” existentes en el interior
Programas dispondremos de un nuevo puerto del circuito integrado FT 232AM (de
serie en nuestro sistema que podre- 128 bytes y 384 bytes). Si se produ-
Antes de conectar el circuito en el puerto mos ver y verificar realizando los jese esta situación deberíamos per-
USB de nuestro ordenador, realizaremos siguientes pasos: Inicio ➛ Configu- der bytes de información en el pro-
una nueva inspección visual al trabajo rea- ración ➛ Panel de Control ➛ Sis- ceso de conversión y es más proba-
lizado, utilizando, si es necesario, una lupa tema ➛ Gestor de Dispositivos. Si ble que suceda cuando trabajemos
para ver con mayor detalle las soldaduras abrimos la opción “Puertos (COM & con velocidades elevadas de datos
SMD realizadas. LPT)”, deberemos poder ver un y no estemos utilizando señales de
A continuación descargaremos los con- nuevo elemento denominado “USB gestión de la comunicación (hands-
troladores necesarios de la casa FTDI Chip Serial Port (COMx)”, tal y como se haking).
desde su página web. Los controladores muestra en la Figura 8a. (020375-1)
están disponibles para todas las versiones Durante la instalación se han ins-
más conocidas de Windows, así como para talado dos controladores que están
las plataformas de Macintosh y Linux. En entrelazados uno con otro. Uno de
función de las versiones de Windows que ellos proporciona el puerto COM vir-
estemos usando, será necesario emplear los tual que se encuentra como un Literatura:
controladores correspondientes, teniendo en nuevo dispositivo en el “Gestor de – Programación de un controlador USB,
cuenta que están disponibles con y sin Dispositivos”. El otro controlador Elektor Nº 271 y 272.
soporte PNP (Plug & Play). Este último es el asegura que en el lado USB del cir- – ¿SMD? ¡Sin miedo!,
denominado “non-PNP”. La diferencia es cuito integrado FT 232AM, aparece Elektor Nº 274 y 275.
pequeña pero esencial. Los controladores un dispositivo USB en el subdirec-
con soporte PNP tan sólo deben usarse torio “Universal Serial Bus Contro-
cuando el periférico conectado al ordenador ller” (“Controlador de Bus Serie Uni-
a través de la interfaz USB/RS 232 también versal”, ver Figura 8b).
haya instalado sus controladores mediante La Figura 9 muestra la ventana
el soporte Windows PNP. En otras palabras, de programación de la herramienta
en caso de duda utilizaremos en primer disponible para programar la
lugar los controladores non-PNP para evitar memoria EEPROM conectada al cir-
problemas. Los problemas típicos que pode- cuito integrado FT 232AM. Esta
mos encontrar con controladores que sopor- pequeña herramienta también
tan PNP incluyen un arranque más lento y puede descargarse de la página
errores de identificación de un conversor web de la casa FTDI Chip. Es posi-
USB/RS 232 como un dispositivo apuntador, ble que los equipos OEMs (Fabri-
lo que se traducirá en un fallo de funciona- cantes de Equipos Originales) des-
miento del ratón. Para Windows XP existe een utilizar esta herramienta para
una herramienta adicional XPNPNP que programar sus propios VID y PID. Si
permite la función de que los dispositivos no disponemos de un VID y un PID
Plug & Play puedan desconectarse de las asignado, podemos omitirlos o
interfaces serie FTDI. prescindir de la memoria EEPROM
Con el controlador correcto almacenado completa. Existe aún otra posibili-
de forma segura en un subdirectorio, pode- dad que es la de recurrir a los PIDs y
mos comenzar el proceso de instalación VIDs reservados por la casa FTDI
conectando la interfaz USB/RS 232 a un Chip. Así, para el circuito integrado
puerto USB de nuestro ordenador. Después FT 232AM su VID es 0403 y su PID
de un corto periodo de tiempo, Windows es 6001. Podemos encontrar una
nos informará de que un nuevo dispositivo descripción más extensa de los
USB ha sido encontrado. Si no existen refe- actuales elementos de esta opción
rencias del dispositivo USB, esto querrá en las instrucciones de programa-

74 Elektor
(C) ELEKTOR
010131-1

010131-1
Controlador de luces de discoteca de 8 canales

010059-1
(C) ELEKTOR

010059
Pico PLC
(C) ELEKTOR
020054-4

020054-4
Simple chip para Control de Tono

Vous aimerez peut-être aussi