Vous êtes sur la page 1sur 24

Examen National du Brevet de Technicien Supérieur

Page
Session de Mai 2016
- Sujet - 1
Centre National de l'Évaluation, des Examens et de l’Orientation
24
Filière: SYSTEMES ELECTRONIQUES - SE - Durée : 4H

Épreuve de: ELECTRONIQUE Coefficient : 35

NANO-SATELLITE CubeSat

Présentation du système :
Les nano-satellites représentent une génération de satellite de très petites tailles et de coûts réduits,
donnant la possibilité aux universités de développer et de placer leurs propres engins spatiaux en orbite
terrestre basse à environ 800km ( LEO : Low Earth Orbit).
Ils permettent de réaliser un large éventail d’applications spatiales, notamment la collecte des
informations sur l’atmosphère et des phénomènes lumineux transitoires.

Cette technique a comme avantages :


- Un coût global ne dépassant pas 1 Million de DH.
- Une durée de conception plus courte (2 à 3 ans).
- Utilisation de composants grand public (capteurs,
microcontrôleurs, cellules solaire, …etc)
CubeSat désigne un format de nano-satellites
imposant les contraintes suivantes :
- Dimensions : 10x10x10 cm3 Figure 1 : Structure mécanique d’un CubeSat
- Poids : 1kg
- Consommation : 1 à 2 W

Figure 2 : Architecture d’un nano-satellite


Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 2
24

L’architecture du nano-satellite (figure 2) comporte 4 sous-systèmes qui communiquent entre eux :

- Sous-système Ordinateur de Bord (OdB) :


C'est la partie principale du satellite qui constitue la plate-forme matérielle et logicielle pour la
supervision des autres sous-systèmes et pour le contrôle et la communication de données.

- Sous-système Energie de Bord (EdB):


Il permet de produire l'énergie électrique par des cellules photovoltaïques, de la stocker dans des
batteries et de la distribuer aux autres sous-systèmes.

- Sous-système de Communication (COM) :


Il assure la communication entre le calculateur de bord du satellite et la station de contrôle au sol. Il
reçoit les commandes et envoie les données de télémétrie (température, tensions des batteries, etc.).

- Charge utile (ChU) :


C'est la partie qui constitue l’objet de mission du satellite. Elle détecte les ondes de gravité présentes
dans l’ionosphère, signe d’évènements naturels tels que les tsunamis, par la mesure du TEC (Contenu
Électronique Total) de l’ionosphère. Cette partie n’est pas traitée dans ce sujet.

Schéma synoptique du système :

Nano-satellite
Ordinateur de bord
Microcontrôleur

BUS

Batteries
Energie de Bord Charge utile Communication
Plaques solaires

Liaison radio

Centre de contrôle de la
mission au sol

Station au sol
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 3
24

Schéma fonctionnel du système :

Capteur Capteur …. Capteur


Température 1 Température 2 Température 7

CAN EEPROM

Bus I2C
Microcontrôleur

CAN
Capteur de Capteur de Ondes
tension courant radio
UART

Conversion Bus alimentation


DC /DC

RS232
5V/ 3,3V

Batterie + Protection

Communication
+
Panneau solaire Transmission

Fonctionnement :
Des capteurs fournissent la tension et le courant de la batterie et les températures des différents organes
du nano-satellite (plaques solaires, batteries, convertisseur DC/DC, …).

- Le convertisseur analogique numérique (CAN) transmet les données issues des capteurs de température
via le bus I2C au microcontrôleur.
- Les signaux images de la tension et du courant de la batterie sont convertis par le CAN interne du
microcontrôleur.

L’unité de traitement (microcontrôleur) transmet les données acquises via une liaison RS232 vers le
bloc de communication. Ce dernier se charge de les émettre vers la station terrestre par ondes radio.

Un convertisseur DC/DC permet d’alimenter les différents blocs par des tensions de 3.3V et 5V.
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 4
24

Schéma structurel :
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 5
24

I- Acquisition et conditionnement de l'information captée.

I.1 Conditionnement de la grandeur courant batterie.

Le conditionnement du courant de la batterie est réalisé à l’aide du circuit intégré


INA122. Le schéma de principe est donné dans la figure ci-dessous où les amplis Op U1 et
U2 sont supposés parfaits.

1- Déterminer l’expression de VIN- en fonction de V01, Vin+, R1, R2 et RG


2- Déterminer l’expression de VIN+ en fonction de VIN-, V01, V0, R1, R2 et RG
 R 2R 
3- Montrer que V0  Ad VIN   VIN   avec Ad  1  2  2  .
 R1 RG 
Cet amplificateur d’instrumentation est réalisé autour du CI INA122 (voir schéma ci-dessous)
4- Extraire du schéma interne de ce circuit les valeurs des résistances R1, R2.
5- Calculer Ad en fonction de RG
6- Calculer la valeur de RG pour avoir une amplification Ad=100.
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 6
24

I.2 Convertisseur analogique numérique

La conversion analogique numérique des signaux issus des capteurs est réalisée à l’aide du circuit
Max1039 donné en annexe 1.

7- Quel est le principe de conversion Analogique / Numérique utilisé par ce convertisseur ?


8- Combien de voies analogiques ce convertisseur est-il capable de convertir ?
9- Donner la résolution de ce convertisseur et son quantum?
10- Déterminer les mots Setup Byte et Configuration Byte (sur DR1), sachant que les paramètres de
fonctionnement du CAN sont :
 Référence de tension externe de valeur 3.3V ;
 Horloge externe (SCL) ;
 Conversion unipolaire ;
 Conversions successives des entrées AIN0 et AIN1 ;
11- Sachant que VAIN0 = 1.83V et VAIN1 = 2.25V, déterminer les valeurs N0 et N1 (en hexadécimale)
correspondantes.

II- Traitement numérique et bus de communication.

II.1 Acquisition du courant et de la tension de la batterie.

L’acquisition de la tension et du courant de la batterie est assurée par le convertisseur analogique


numérique interne au PIC16F877 (voir schéma structurel). Dans cette partie on s’intéresse uniquement à la
mesure du courant.

12- Donner la résolution du CAN interne du pic 16F877


13- Compléter le document réponse DR1 en précisant la valeur à donner au registre ADCON1, pour
remplir les conditions suivantes : justification à droite et seules les entrées AN0 et AN1 sont
analogiques.
14- Déterminer l’expression de N = f(V0)
15- Sur le document réponse DR1, donner les valeurs à affecter aux bits du registre « ADCON0 », en
tenant compte des indications suivantes :
 sélection du canal 0,
 horloge F0SC/32,
 activation du convertisseur.
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 7
24

16- Compléter la fonction ADC_READ_AN0( ) sur le document réponse DR1 permettant de


retourner la valeur de la conversion analogique numérique de l’entrée AN0 en respectant
l’organigramme suivant :

Conversion

Choix du canal 0

Déclenchement de la conversion

Non
Fin de conversion

Lecture du résultat

Retour

Période d’acquisition des mesures


L’acquisition se répète à intervalles de temps réguliers, c’est cette propriété qui va permettre au CAN
d’échantillonner les mesures à des fréquences bien précises. Dans la suite, on produira cette temporisation à
l’aide de deux boucles imbriquées (voir document réponse).

17- Compléter l’organigramme correspondant (sur DR1)


18- Déterminer le nombre de cycles correspondant à chaque instruction (sur DR1)
19- Exprimer la temporisation T en fonction de M et N
20- Sachant que T=0.1s et M = 0xd8, calculer la valeur de N en hexadécimale.

N.B : Un cycle machine dure 4 périodes d’horloge.

II.2 Communication I2C

Les grandeurs températures captées sont converties par le circuit MAX1039 qui utilise le bus I2C pour
communiquer les résultats de conversion au microcontrôleur.

Le document constructeur du MAX1039 est donné en annexe 1.

21- Quelle est la fonction des lignes SDA et SCL ?


Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 8
24

22- Quelle est la fréquence maximale de l’horloge (SCL) supportée par le circuit ?
23- Sachant que le Setup Byte est égale à 0xAC et Le configuration Byte est égale à 0x0F, donner la
trame I²C qui permet de programmer le convertisseur MAX1039 (sur DR2)
24- Donner la trame I²C qui correspond à la lecture des valeurs (0x5D et 0xA9) issues de la conversion
des entrées AIN0 et AIN1 par le circuit MAX1039 (sur DR2).

II.3 Etude de l'UART

Les informations collectées par le PIC16F877 (températures, courant batterie, tension batterie …) sont
communiqués via l’USART du PIC16F877 au bloc de transmission pour les envoyer par voie hertzienne à la
station terrestre.

25- Citer les principales caractéristiques de la liaison RS232 ;


26- La configuration de la liaison RS232 : 1bit de start, 8 bits de données, 1bit de stop et la vitesse de
transmission est de 19200 bauds. On procède à l’émission de l’octet 0xC6 :

a. Tracer la trame d’émission de cet octet avant et après adaptation à la liaison RS232 (sur DR2);

b. Calculer le temps que dure cette transmission.

27- Sachant que le bit BRGH du registre TXSTA est égale à 1, calculer la valeur en hexadécimale à
mettre dans le registre SPBRG pour avoir une vitesse de transmission de 19200 bauds.

III- Communication Ethernet.

III.1 Protocole Ethernet

Lors de la transmission des résultats d'une mesure relevée par le CubeSat vers la station de réception sur
terre, les données codées en hexadécimal (retranscrites ci-dessous), ont été capturées à l'aide d'un "sniffer de
réseau Ethernet".

 La trame capturée est la suivante :


1a 5b c6 08 56 e1 3a 2e 4d 36 88 80 08 00 45 00
00 41 02 f8 00 00 80 11 a2 a8 c0 a8 2a a4 d8 3a
d1 84 f8 d3 01 bb 00 2d df 59 0c 82 7f 99 0c 9b
a4 cd a7 8e 09 e1 33 63 f8 00 72 3c 80 85 bd fb
5f fd d0 f5 c5 70 64 38 f9 12 93 b5 5f 24 7f

NB : Ni le préambule, ni le CRC de la trame ne figurent dans cette capture.


Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 9
24

28- A partir des indications relatives à la norme Ethernet V2.0 et au protocole IP V4 fournies en annexe,
déterminer le type de trame capturée.
29- Relever les adresses Mac source et destination (sur DR2).
30- Relever les adresses IP source et destination, en les mettant sous la forme normalisée, soit la notation
décimale pointée (exemple : 192.168.1.20).

III.2 Programmation VHDL du code CRC.

Le CRC (Cyclic Redundancy Check) est un code qui permet de détecter les erreurs. Il est obtenu en
ajoutant aux données les bits de redondance (CRC).

On peut calculer le CRC par un registre à décalage.

Pour un polynôme générateur de la forme xk + … + 1 :

 Le schéma est constitué de k bascules D numérotées de 1 à k


 la bascule Q1 est la plus à gauche.
 Le feedback vient de la bascule la plus à droite (Qk).
 A l’exception du xk, chaque monôme de la forme 1.xi correspond à un XOR (ou exclusif)
entre Qi et Qk.

Exemple : le polynôme x4 + x + 1 nécessite :


 4 bascules D
 Une porte XOR entre Q4 et Din (i=0)
 Une porte XOR entre Q4 et Q1 (i=1)

Din
Dout

31- Tracer (sur DR3) le schéma du registre à décalage de calcul de CRC correspondant au polynôme
suivant : x5 + x4 + x2 + x1 + 1
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 10
24

32- Sachant que le polynôme générateur CRC de l’Ethernet est :

x32 + x26 + x23 + x22 + x16 + x12 + x11 + x10 + x8 + x7 + x5 + x4 + x2 + x1 + 1


Déterminer le nombre de bascules D et le nombre de portes XOR nécessaires pour réaliser le
registre à décalage de calcul de CRC de l’Ethernet.

On se propose de réaliser le registre à décalage de calcul de CRC par un circuit FPGA, Pour cela on doit
écrire un programme VHDL structurel utilisant le composant (component) suivant : la bascule D.

33- Sur le document réponse DR3, compléter l’entité de la bascule D.


34- Sur le document réponse DR3, compléter l’architecture de la bascule D.
35- Sur le document réponse DR4, compléter l’entité du registre générateur CRC de l’Ethernet.
36- Sur le document réponse DR4, compléter la déclaration du composant bascule D.
37- Sur le document réponse DR4, Commenter le code VHDL qui décrit le registre générateur CRC de
l’ethernet.

Barème de notation :
Question 1 1 pt Question 11 1 pt Question 21 1 pt Question 31 1.5 pt
Question 2 1 pt Question 12 1 pt Question 22 1 pt Question 32 1 pt
Question 3 2 pts Question 13 1 pt Question 23 1.5 pt Question 33 1 pt
Question 4 1 pt Question 14 1 pt Question 24 1.5 pt Question 34 1 pt
Question 5 1 pt Question 15 1 pt Question 25 1 pt Question 35 1 pt
Question 6 1 pt Question 16 1 pt Question 26 1 pt Question 36 1 pt
Question 7 1 pt Question 17 1 pt Question 27 1 pt Question 37 1 pt
Question 8 1 pt Question 18 1 pt Question 28 0.5 pt
Question 9 1 pt Question 19 1.5 pt Question 29 1 pt
Question 10 1 pt Question 20 1.5 pt Question 30 1 pt
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 11
24

Documents Réponses à rendre avec la copie


DR1 :
10. Setup Byte
REG SEL2 SEL1 SEL0 CLK BIP / UNI RST X
-
Configuration Byte
REG SCAN1 SCAN0 CS3 CS2 CS1 CS0 SGL/ DIF

13. ADCON1
ADFM - - - PCFG3 PCFG2 PCFG1 PCFG0
- - -
15. ADCON0
ADCS1 ADCS0 CHS2 CHS1 CHS0 - ADON
-
16.
int ADC_READ_AN0(){
int ADCResult = 0;
……………………………;
ADCON0.GO_DONE=1;
…………………………………..;
ADCResult = …………….+ ……………… ;
return ADCResult;
}
17. 18.

Tempo

Etiqutte Mnémonique Nombre


CNT2  N
de Cycles
Tempo : MOVLW N
CNT1  M
MOVWF CNT1
…………………… D1: MOVLW M
MOVWF CNT0
Non
…………… D0: DECFSZ CNT0
….
GOTO D0
…………………….
DECFSZ CNT1
Non GOTO D1
……….
RETURN

Retour
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 12
24

DR2 :
23. Trame I²C

S P

24.Trame I²C

S P

26.a Trame d’émission de l’octet 0xC6

Tx

t
Txa T

Ligne au repos

29. 30

@Mac source : : : : :

@Mac destination : : : : :

@IP source . . .

@IP destination . . .
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 13
24

DR3 :
31. Registre à décalage de production CRC.

D Q D Q D Q D Q D Q

H H H H H

33. 34. Entité et architecture de la bascule D


library ieee;
use ieee.std_logic_1164.all;
entity basculeD
…………………………………………………………………………
…………………………………………………………………………
…………………………………………………………………………
end ……………………………………;

architecture ……………………………………………………………
begin
process(…………………………………)
begin
…………………………………………………………………………
…………………………………………………………………………
…………………………………………………………………………
…………………………………………………………………………
…………………………………………………………………………
end ……………………………………;
end ………………………………………;
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 14
24

DR4 :

35. 36. 37. Registre générateur de CRC.


library ieee;
use ieee.std_logic_1164.all;
entity CRC is
port( ……………………………… : in std_logic;
…………………………………:out std_logic);
end CRC;
architecture rtl of CRC is

component basculeD
port(………………………………… : in std_logic;
………………………………… :out std_logic);
end component;

constant polynomeCRC :
std_logic_vector(32 downto 0):= "100000100110000010001110110110111";
signal Q:std_logic_vector(32 downto 0); -- ……………………………………………………………………

begin
Q(0)<= Din; -- ……………………………………………………………………………………

bcl: for i in 1 to 32 generate -- ……………………………………………………………………………


-- ……………………………………………………………………………………

cas1: if polynomeCRC(i-1)='0' generate


u: basculeD port map (Q(i-1), clk, Q(i)); -- ……………………………………………………………
-- ……………………………………………………………………………………………
end generate cas1;

cas2: if polynomeCRC(i-1)='1' generate


u:basculeD port map (Q(i-1) xor Q(32),clk,Q(i));

end generate cas2;

end generate bcl;

Dout<=Q(32);

end rtl;
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 15
24

ANNEXE 1 :
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 16
24
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 17
24
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 18
24
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 19
24
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 20
24

ANNEXE 2 :
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 21
24
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 22
24
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 23
24
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2016 - Page
Filière: SYSTEMES ELECTRONIQUES - SE - Épreuve de : ELECTRONIQUE 24
24

ANNEXE 3 :
Format de la trame Ethernet V2 :

 Préambule : composé d'une succession de 0 et de 1, assure la synchronisation du récepteur sur la trame émise. (7
octet de valeur 0xAA suivi d’un octet Délimiteur de trame 0xAB)
 Type de protocole : de couche supérieure sur 2 octets (Ex : 0800=IP; 0806=ARP).
 Code de contrôle d’erreur (Frame Check Sequence) : calculé selon un code de redondance cyclique (CRC).

Datagramme IP :

Vous aimerez peut-être aussi