Explorer les Livres électroniques
Catégories
Explorer les Livres audio
Catégories
Explorer les Magazines
Catégories
Explorer les Documents
Catégories
Laboratoire d’Infotronique
1. CLB, arbre d’horloge, IOB port, block RAM, multiplieur dédié, logique
d’interconnexion.
5. Circuit 1 : Multiplexeur.
a)
Le circuit correspondant est dessiné dans la figure ci -dessous :
b)
Puisque le circuit est combinatoire, on peut écrire la table c i-dessous :
Université m´hamed Bougara de Boumerdes
Faculté des Sciences Département de Physique
Exercice 2 :
b.
library ieee;
use ieee.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity exercice2 is
port(
clk, reset : in std_logic;
nombre : out std_logic_vector(15 downto 0)
);
end serie;
begin
register_a: process (clk, reset)
begin
if reset = '1' then
a <= "0000000000000001";
elsif (clk'event and clk='1') then
a <= c;
end if;
Université m´hamed Bougara de Boumerdes
Faculté des Sciences Département de Physique
end process;
c <= a + b;
nombre <= b;
end vhdl;
Exercice 3 :
3. Le chemin critique va :
Bascules A ou B à la bascule D en passant par la porte X OR, la porte
OU et la porte ET à 3 entrées.
4. La période minimale est :
Tmin = 2 + 4 + 3 + 6 + 1 = 16 ns, donc la fréquence maximale est de 62.5
MHz.