Vous êtes sur la page 1sur 6

PVI01-10_3

Etching, texturing and surface Fab &


Facilities

decoupling for the next generation of Materials

Si solar cells Cell


Processing

Guy Beaucarne, Patrick Choulat, B.T. Chan, Harold Dekkers, Joachim John & Jef Poortmans, IMEC, Belgium Thin
Film

ABSTRACT PV
Modules
Si etch processes are vital steps in Si solar cell manufacturing. They are used for saw damage removal, surface texturing
and parasitic junction removal. The next generation of Si solar cells, featuring thinner wafers and passivated rear
Power
surface, will pose more stringent demands on those steps. Surface decoupling (achieving different surface treatments on Generation
the front and the rear) has to be achieved while minimizing Si consumption. Plasma texturing is an emerging technique
that appears very promising in that respect, as efficiencies as high as 17.4 % have been achieved on screenprinted Market
multicrystalline Si solar cells incorporating this process. Watch

Introduction takes place on both sides simultaneously. solar cell under an oblique angle, making
Bulk cr ystalline Si is presently the For multicr ystalline Si, one should it less probable that they will escape from
dominating photovoltaic technology and monitor and control the etching process the front surface after reflection at the rear.
will probably remain so for the next two to limit the formation of steps at grain This effect is especially important when
decades. The present solar cell processes boundaries, which can lead to problems using thin silicon substrates (<200μm).
make extensive use of Si etching steps during metallization. If the substrate is monocrystalline,
[1,2]. It is expected that these types of The wafer surface after such alkaline it is advantageous to make use of the
processes will gain in importance in the saw damage etch process is flat, and anisotropic etching properties of Si in an
next few years, but also that they will face therefore shows a high reflectance. If alkaline solution. As the {111} planes get
more stringent requirements in terms of solar cells are made with such surface, etched more slowly than other crystal
Si consumption and surface morphology the currents will be low, leading to low planes, {111} facets are developed. On
as the wafers used in the industry become conversion efficiencies; therefore, most <100> wafers, this leads to pyramidal
thinner and more fragile. industrial processes today include a shapes at the surface that are particularly
There are three steps in which Si etch is texturing step, which has two beneficial effective in reducing ref lectance. In
involved: effects. Firstly, rays reflected at the facets laboratory cells, an oxide etch mask
get a second chance to be coupled into the formed by photolithography is sometimes
• Removal of the region near the surface of cell. Efficient surface texturing can reduce used, resulting in a regular array of
the wafers with many defects induced by the reflectance from more than 35% to pyramidal pits (with facets at 54.7° to
the wire sawing process (saw damage) less than 10%, which is in practice lowered the horizontal plane) called inverted
• Texturing the front surface further by using an anti-reflection coating pyramids (see Figure 1), with reflectances
• Removing the parasitic junctions formed (ARC). Secondly, front surface texturing as low as 8% without ARC. In industrial
at unwanted locations on the cell during ensures that light rays are coupled into the processes, however, masked processes are
the diffusion process
In this paper, we will first review
the state-of-the-art in terms of Si etch
processes in Si solar cell production. We
will then sketch the trends and link them
with new requirements for the Si etch
steps, concluding with a discussion of
alternative techniques to the traditional
wet chemical processes and the challenges
presented by these techniques.

State-of-the-art
Silicon substrates used in commercial
solar cell processes contain a near-
surface saw-damaged layer that has to be
removed at the beginning of the process.
A layer with thickness of 5 to 10μm has to
be etched from both sides of wafers. The
damage removal etch is often done in a
20-30 wt. % aqueous solution of NaOH or
KOH at 80 - 90°C. This process is a batch
process where the wafers are placed in
a cassette and immersed in a bath with
the appropriate solution. The reaction Figure 1. SEM top view of a silicon surface with inverted pyramids.

Photovolt aic s Inter national 1


PVI01-10_3
avoided. It is possible to achieve almost This process was first successfully It should be noted that isotropic wet
Fab & the same level of reflectance by maskless developed at IMEC, but similar texturing requires the presence of surface
Facilities etching where one relies on random developments were later on carried out at defects to work effectively. On substrates
processes to create locally the different other institutes [6,7]. The process has by without saw damage such as Si ribbons,
etch rates needed to initiate the pyramid now become a standard for multicrystalline acidic texturing either does not work
Materials formation. This process is carried out Si solar cells. For a sufficient lifetime of the or presents issues of uniformity and
in a weak solution (weaker than for etching bath, it is important to monitor reproducibility.
Cell saw damage etching) of NaOH or KOH the amount of Si etched and to replenish The P-diffusion process that follows
Processing with addition of isopropanol to improve the solution accordingly, to ensure that the texturing usually creates a junction all
wettability [3]. The process results in a necessary reagentia are not depleted. around the wafer. As a result, some
surface covered with randomly distributed regions are doped where doping is not
Thin upside pyramids, as shown in Figure desired and can actually be detrimental
Film
2. The process requires careful control
over the etch parameters and solution
“Isotropic wet texturing to the solar cell operation. Therefore, an
Si etch process is often applied to locally
PV composition (in particular to keep the requires the presence of remove the undesirable P-doped regions.
Modules isopropanol concentration constant surface defects to work A few years ago, the standard technique
in spite the evaporation effect). When was plasma etching in a plasma reactor,
Power the process is under control, uniformly effectively. On substrates where the cells were stacked on top of
Generation distributed pyramids with a height of 3- without saw damage such as each other with (possibly) rubber sheets in
5μm are obtained. This process step is between. The stack is then exposed to the
also done in a batch wetbench, and results Si ribbons, acidic texturing plasma, removing about one micrometer
Market
Watch in texturing on both sides unless special either does not work or of Si at the edges of each wafer. The
precaution is taken to avoid such an parasitic junction at the rear remains,
outcome. From a manufacturability point presents issues of uniformity but nevertheless the step is effective in a
of view, it is advantageous to combine the and reproducibility.” conventional process as most of the rear
saw damage step and alkaline texturing in surface is converted into p-type during the
one single step, in which case a trade-off final BSF formation while the shunt paths
has to be found between process speed If properly monitored and replenished, at the edge are removed. However, because
and quality of the texturing. After alkaline the bath can be used for many thousands it involves extensive handling, significant
etch, a neutralization step in a dilute HCl of wafers, and the chemicals consumption forces applied onto the wafers and the need
solution is required. per wafer is very limited. Apart from the for gas abatement, this technique has to a
Alkaline random texturing is not batch approach, such processes can also large extent been replaced in the last few
effective on multicrystalline silicon be done in in-line wetbenches, which are years by laser scribing along the cell edge.
substrates due to its anisotropic nature. now available from equipment vendors. In More recently, in-line wetbenches have
Some grains (typically those with an both batch and in-line systems, the wafers been introduced that completely etch away
orientation close to <111> normal to the are typically completely immersed in the the doped region at the rear of the wafer.
surface) remain untextured, leading to a solution, resulting in texturing on both The solar cells are transported just above
high average reflectance. A very elegant sides. After acidic texturing, wafers are the etch bath level (typically an aqueous
technique of texturing multicrystalline usually dipped in a dilute alkaline solution solution based on HNO3 and HF), so that
silicon is to etch the wafers in an acid to remove a thin porous silicon layer that only the rear side is etched, leaving the
mixture based on HF and HNO3 [4]. The is formed during the texturing step (stain emitter at the front intact. This process is
HNO3 tends to oxidize the surface, while etch). This is followed by a neutralization usually combined with phosphorous glass
the HF etches the oxide away. The etching step to remove all Na or K atoms from the removal in the same wetbench, just prior to
process occurs preferentially at defects. surface before emitter diffusion. the rear side etch.
Therefore, when saw damage is present,
this etching process structures the surface
in a way that is independent of the crystal
orientation. This acidic isotexturing
results in lower reflection than traditional
anisotropic etching on multicrystalline
material, and better conversion efficiency
[4,5]. A SEM picture of the surface of
an acidic isotextured wafer is shown in
Figure 3.

Figure 2. SEM picture of a


monocrystalline Si surface with
random pyramids, textured in an
alkaline solution. Figure 3. SEM picture of an acidic textured surface of a multicrystalline Si wafer.

2 w w w. p v - te ch. o rg
PVI01-10_3
Quite a large volume of water is
required for rinsing after wet chemical Fab &
etch steps. The typical 5 litre/Wp for Facilities
a conventional process is not only an
environmental issue (proper waste
Materials
treatment has to be foreseen), but can be
a significant supply and cost issue, which
is anticipated to increase in importance Cell
as water resources become scarcer. Processing
Optimizing the process towards minimal
water consumption is therefore vital both
Thin
from the environmental and from the
Film
economic points of view.

Surface decoupling PV
The conventional Al-BSF, forme d Modules
by an alloying process during the
scre enprinting metalli z ation step, Power
p ro v i d e s o n l y m o d e r a te s u r f a c e Generation
p a s s i v at i o n , w i t h re c o m b i n at i o n
velocities in the order of 1000cm/s. For Market
very thin cells, however, recombination Watch
at the rear surface gains in importance,
and substantially lower surface
recombination velocities are required.
Below a thickness of 200μm, the Al-
BSF passivation is no longer sufficient
and one observes a substantial loss in Figure 4. Three different concepts for industrial passivated rear surface solar cells:
both V oc and J sc . Another issue with bifacial solar cells with fire-through contacts (as in [8]), laser-fired contact solar
Al-BSF on very thin wafers is the wafer cells (LFC [9]), and selective alloying, thermally-fired local BSF solar cells (i-PERC
bowing induced by the different thermal [10]). All concepts feature a very good surface passivation with a dielectric layer at
expansion coefficient between Si and Al, the rear and local contacts to the silicon.
which may lead to problems with cell
handling and module manufacturing. therefore effectively many more sites systems today occurs on both sides)
A last drawback of the Al-BSF is the where harmful defects can be present, and proceeds with one-side rear surface
absorbance that takes place in the making exhaustive passivation difficult. etching to polish the rear surface, the
BSF region. A BSF is typically 5μm For surface passivation layers that rely process is relatively long and one that
thick, and all photons absorbed in this on a field effect, such as stoichiometric tends to consume a substantial amount
region are lost for conversion. While PECVD silicon nitride layers, this is of Si, clearly an unwanted effect when
small for standard thicknesses, this loss not as obvious. One would expect that the wafers are already very thin to start
becomes very large as wafer thickness the surface passivation is much less with. The development of practical
decreases and light confinement gains dependent on the roughness than on the and manufacturable one-side texturing
in importance. For all of these reasons, a density of fixed charges in the dielectric, process steps (alkaline and acidic) and
new concept of rear structure is required and this has been confirmed at lifetime of one-side saw damage removal process
to provide low recombination at the rear test structure level [11]. However, in steps is desirable and would be directly
surface, with dedicated passivation layer solar cell structures, a non-textured rear implementable in many adv ance d
and local contacts. Possible candidates surface appears to be needed to reach process flows.
for the passivation layer are silicon oxide low effective recombination velocities
layers, adapted silicon nitride layers, and high efficiencies. Alternatives to wet chemical
amorphous Si layers, and stacks of such
layers. Prominent examples of solar cell
etching processes
“In solar cell structures,
concepts based on dielectric passivation Etching silicon substrates can also be
at the rear are shown in Figure 4. realized by means of plasma technology.
These structures are depicted with a non-textured rear surface In this technology a plasma discharge
is created and molecules are partially
a textured front surface (needed for appears to be needed to dissociated into radicals upon electron
any high-efficiency cell) and a flat rear
surface. In other words, the surface reach low effective impact. Those radicals, with or without
the assistance of ions, etch the silicon.
treatments of the front and rear of the recombination velocities The radicals that etch silicon are typically
wafers are decoupled – a significant
feature of these new solar cell concepts, and high efficiencies.” halogens, of which the fluorine atom is
which is related to the quality of the the most effective.
surface passiv ation at the rear. It A distinction has to be made between
appears that it is much more difficult Achieving surface decoupling in a Reactive Ion Etching (RIE) and other
to achieve the high level of surface practical way is not straightforward. types of plasma texturing. RIE relies
passivation required if the rear surface One can possibly use similar in-line etch on the ion bombardment that creates
is rough. For surface passivation schemes systems as for rear parasitic junction damage on the surface. This technique
that rely on lowering the density of removal since this is an existing one- has proved to yield uniform and low
interface defects, such as with intrinsic side treatment, but the requirements reflectances [12], but the defects induced
amorphous Si and silicon oxide, this is for the etch steps are different. If one by the ion bombardment is a problem. A
easily understood. A rougher surface starts with wet chemical texturing possible solution is etching the damaged
has a much larger effective area and (which in all commercially available region subsequently by wet chemical

Photovolt aic s Inter national 3


PVI01-10_3

Fab &
Facilities

Materials

Cell
Processing

Thin
Film

PV
Modules

Power
Generation

Market
Watch

Figure 5. SEM picture of an Si wafer after the IMEC plasma texturing.

means. At IMEC, we have developed a Moreover, the process consumes only a Before plasma texturing can be applied
process based on microwave-powered minimum of Si (can be as low as 1μm). It on an industrial scale, several issues have
antennas [13]. These antennas are is therefore possible to devise a process to be dealt with successfully. First, the
positioned above the substrates providing scheme removing the absolute minimum process needs to be upscaled such that
sufficient radical density to cause amount of Si required, e.g. a saw damage it provides the necessary throughput
chemical etching on the surface. Ions do removal step removing ~5μm of the saw while providing low cost of ownership.
not play a role in this process unless an damaged region on each side, and then Moreover, excellent uniformity has to be
RF bias is applied. The gas chemistry is 1μm for texturing. Plasma texturing reached over large areas. Both issues are
based on SF6, N2O and Cl2. The process should therefore be seen as an enabling serious technical challenges and will no
is self-masking, in that the residues of the technology for advanced Si solar cell doubt require substantial development
etching process temporarily get deposited technologies. At IMEC, we achieved efforts. However, the history of successful
on the surface, leading to a locally lower a conversion efficiency of 17.4% on a development of vacuum in-line systems
etch rate and the formation of a texture. screenprinted multicrystalline Si solar for the PV industry inspires confidence
The etching process is isotropic, leading cell with the i-PERC process, which that it can be achieved. Another important
to the same texture regardless of the includes plasma texturing [14]. issue is gas abatement. While replacing
grain orientation. With the right process wet texturing by plasma texturing
parameters, one can obtain a uniform, would reduce the amount of wastewater
moderate reflectance (15-22% before dramatically, the release of greenhouse
ARC deposition) and a low surface area “Plasma texturing gasses could offset that environmental
enhancement (required to maintain high should be seen as an advantage completely if not properly
Voc). The features of the surface texture tackled [17,18]. SF 6 , for instance, has
are much finer (about 10 times smaller) enabling technology for a huge Global Warming Potential of
than those of alkaline or acidic textured advanced Si solar cell 24000. Just a few percent of the SF 6
surfaces (see Figure 5). flow getting past the abatement system
When plasma texturing is applied as technologies.” leads to a poor environmental balance,
a replacement for acidic isotexturing in which is unacceptable for a PV product.
standard, thick (200μm) screenprinted This problem, however, is common to
solar cells, it yields similar or only Plasma texturing is also particularly several processes in microelectronics
slightly higher conversion efficiencies: appropriate for wafers produced without and, increasingly, thin-film photovoltaics
the real benefit of plasma texturing is surface damage such as Si ribbons and (reactor etching). Producers of gases
apparent in advanced structures and for epitaxial layers on low-cost Si substrates, and abatement systems have responded
very thin wafers. Since plasma texturing for which no easy wet chemical texturing to the challenge and are now developing
is inherently a one-side process, it is process is available. Plasma texturing has solutions that can lead to zero release of
straightforward to achieve the surface proved to bring a significant advantage GWP gas, either by effective recycling
decoupling discussed above, whereas on both types of substrates [15], [16 – see of the fluorinated species, or by offering
it is a challenge with wet chemistry. IMEC paper in Thin Film section]. alternative gas systems with low GWP [19].

4 w w w. p v - te ch. o rg
PVI01-10_3
Typically, these installations only make cells: Materials, manufacture and [13] Dekkers, H.F.W., Agostinelli, G.,
economic sense for very large plants. operation, eds. T. Markvart and L. Dehertoghe, D. & Beaucarne, G. 2004, Fab &
Taking into account the soaring scale of Castaner, Elsevier. ‘Improved performances of mc-Si solar Facilities
solar cell manufacturing plants, this should [2] Neuhaus, D.-H. & Münzer, A. 2007, cells by isotropic plasma texturing’,
not be a problem in the future. ‘Industrial silicon wafer solar cells’, in Proc. 19th European Photovoltaic
Another possible application of Si etching Advances in Optoelectronics, Article Solar Energy Conference. Materials
by plasma is a shallow uniform etch for ID 24521. [14] Choulat, P., Agostinelli, G., Ma, Y.,
junction removal at the rear, advantageously [3] King, D.L . & Buck , M. E. 1991, Duerinckx, F. & Beaucarne, G. 2007, Cell
combined with phosphorus glass removal ‘Experimental Optimization of an ‘A bove 17% industrial type PERC Processing
in the same step. The feasibility of such a Anisotropic Etching Process for Solar Cell on thin multi-crystalline
process has been demonstrated in in-line Random Texturization of Silicon Solar sil icon substr ate’, P r o c . 2 2 n d
or quasi-in-line systems [20,21] but needs Cells’, in Proc. of 22nd.IEEE PV Spec. Thin
European Photovoltaic Solar Energy
Film
further development. The combination Conf. pp.303-308. Conference.
of three plasma processes (PSG removal, [4] Einhaus, R., Van Kerschaver, E., Szlufcik, [15] Kaes, M., Hahn, G., Metz , A .,
rear junction removal and silicon nitride J., Nijs, J. & Mertens, R. 1997, ‘Isotropic Agostinelli, G., Ma, Y., Junge, J., PV
deposition) in the same vacuum in-line chain texturing of multicrystalline silicon Zuschlag , A . & Groetschel, D. Modules
is very appealing from a manufacturing point wafers with acidic texturing solutions’. ‘Progress in high efficiency processing
of view. in Proc. 26th IEEE PVSC, pp. 167-170. of EFG silicon solar cells’, Proc. 22nd Power
Finally, it should be mentioned that [5] De Wolf, S., Choulat, P., Vazsonyi, E., European Photovoltaic Solar Energy Generation
laser ablation is also being investigated as Einhaus, R., Van Kerschaver, E., De Conference, pp. 897-902.
an alternative to etching of Si, for surface Clercq, K. & Szlufcik, J. 2000, ‘Towards [16] Degans, H., Kuzma, I., Beaucarne, G. & Market
texturing [22] or the formation of special industrial application of isotropic Poortmans, J. 2008, ‘Plasma texturing Watch
topographies enabling high efficiency texturing for multicrystalline silicon and porous Si mirrors boost thin-
structures [23]. The advantage of laser solar cells’, in Proc. 16th EPVSEC, pp. film Si solar efficiency’, Photovoltaics
structuring is that it enables the formation 1521-1523. International Vol. 1 (current edition).
of sharp and precise features on the surface [6] Hauser, A ., Melnyk , I., Fath, P.,
[17] Agostinelli, G., Dekkers, H.F.W., De
without the need of prior patterning of a Narayanan, S., Roberts, S. & Bruton,
Wolf, S. & Beaucarne, G. 2004, ‘Dry
mask. However, the silicon in laser-ablated T. M. 2003 ‘A simplified process for
etching and texturing processes
regions is damaged, and typically needs Isotropic Texturing of MC-SI’, Proc. 3rd
for crystalline silicon solar cells:
a subsequent wet chemical damage etch. WCPEC, pp. 1447–1450.
sustainability for mass production’,
Process speed (particularly if the complete [7] Tool, C.J.J., Coletti, G., Granek, F.J.,
Proc. 19th European Photovoltaic
substrate surface needs to be scanned) and Hoornstra, J., Koppes, M., Kossen, E.J.,
Solar Energy Conference.
cost are presently significant issues for laser Rieffe, H.C., Romijn, I.G. & Weeber,
[18] de Wild-Scholten, M. J., Alsema,
structuring, although they may be solved A.W. 2005, ‘Straightforward in-line
E. A., Fthenakis, V. M., Agostinelli,
in the future thanks to the fast progress in processing for a 16.8% efficient mc-Si
G., Dekkers, H., Roth, K. & Kinzig,
laser development. solar cell’, Proc. 31st PVSC.
V. 2007, ‘Fluorinated greenhouse
[8] Romijn, I.G., Koppes, M., Kossen,
Conclusion E.J., Tool, C.J.J. & Weeber, A. W 2006,
g a s e s i n p h o to v o l t a i c m o d u l e
Si etching steps are used extensively in manufacturing: potential emissions
‘High efficiencies on mc-Si solar cells
present Si solar cell manufacturing, and and abatement strategies’, Proc. 22nd
enabled by industrial firing through
European Photovoltaic Solar Energy
it is expected that those steps will gain in rear side passivating SiNx:H’, Proc. 21st
Conference, pp. 1356-1366.
importance in future technologies. The European Photovoltaic Solar Energy
main processes used today are random Conference and Exhibition. [19] Lai, P., Stockmann, P. & Shuttleworth,
texturing in dilute alkaline solution for [9] Schneiderloe chner, E ., Emanuel, G. 2008, ‘Sustainable chamber cleaning
monocr ystalline Si, acidic isotropic G., Grupp, G., Lautenschlager, H., solutions: The back end of the front
texturing for multicrystalline Si, and Leimenstoll, A., Glunz, S.W., Preu, R. end’, in Semiconductor International,
one-side shallow etching for parasitic & Willeke, G. 2004, ‘Silicon solar cells vol. January 2008.
junction removal. It is anticipated that with screen printed-front contact and [20] Rentsch, J., Decker, D., Hofmann,
new processes will be introduced in the dielectrically passivated, laser-fired M., Schlemm, H., Roth, K. & Preu,
future that enable fast texturing and deep rear electrode’, Proc. 19th European R. 2007, ‘Industrial realization of dry
silicon etching on only one side, as surface Photovoltaic Solar Energy Conference, plasma etching for PSG removal and
decoupling is desired for many advanced pp. 447-450. rear side emitter etching’, Proc. 22nd
solar cell structures. An emerging field is [10] Agostinelli, G., Choulat, P., Dekkers, European Photovoltaic Solar Energy
that of plasma-based Si etching processes H. F. W., De Wolf, S. & Beaucarne, Conference, pp. 1340-1343.
for solar cells. Plasma texturing has proved G. 2005, ‘Screen printed large area [21] De Wolf, S., Schade, K., Dekkers,
particularly suitable for advanced solar cell crystalline silicon solar cells on thin H.F.W. & Beaucarne, G. 2005, ‘In-line
structures and new low-cost substrates. substrates’, Proc. 20th European plasma surface etching and PECVD
Photovoltaic Solar Energy SiNx:H deposition for crystalline
Conference pp. 647-650. Si solar cell processing’, Proc. 20th
Acknowledgements
[11] De Wolf, S., Agostinelli, G., Beaucarne, European Photovoltaic Solar Energy
Part of this work was financially supported
G. & Vitanov, P. 2005, ‘Influence Conference, pp. 729-732.
by the European Commission with the
FP6 project Crystal Clear (SES6-CT2003- of stoichiometry of direct plasma- [22] Abbott, M. & Cotter, J. 2006, ‘Optical
502583). We thank Gong Chun, Yue Ma, enhanced chemical vapor deposited and electrical properties of laser
Didier Dehertoghe and André Janssens SiNx films and silicon substrate surface texturing for high-efficiency solar cells’,
from IMEC for their help. roughness on surface passivation’, Progress in Photovoltaics Vol. 15, pp.
Journal of Applied Physics, vol. 97. 237-243.
References [12] Inomata, Y., Fukui, K. & Shirasawa, K. [23] Engelhart, P., Harder, N.-P., Grischke,
[1] Szlufcik, J., Agostinelli, G., Duerinckx, 1996, ‘Surface texturing of large area R., Merkle, A., Meyer, R. & Brendel,
F., Van Kerschaver, E. & Beaucarne, G. multicrystalline silicon solar cells using R. 2007, ‘Laser structuring for back
2004, ‘Low cost industrial technologies reactive ion etching method’, Proc 9th junction silicon solar cells’, Progress in
of crystalline silicon solar cells’, in Solar PVSEC pp. 109-110. Photovoltaics Vol. 14, pp. 225-235.

Photovolt aic s Inter national 5


PVI01-10_3
About the Authors of photovoltaics. His work has mainly with the Fraunhofer Institute for Physical
Fab & Guy Beaucarne is been dedicated to bulk Si solar cells for Measurement Techniques, Germany,
Facilities Head of the S olar Cell industrial applications. Image pending doing research and development on
Technology group at IMEC. B.T. Chan received his B.Sc. semiconductor mid-infrared lasers. From
He re ceive d his degre e from the National University 1993 to 1998 he worked first as a Junior
Materials
in electromechanical of Malaysia in 1997, with Scientist later as a Senior Scientist on
engineering in 1995 from a major in physics. From photovoltaic mid-IR sensor arrays at ETH,
Cell the Catholic University of Leuven (KUL), 2001 to 2007, he worked Switzerland. In 1998 he joined IMEC,
Processing Belgium. His Ph.D. research was in the for Secon Semiconductor Belgium, where he is presently leader of
field of thin-film silicon solar cells at IMEC, in Austria. Since the beginning of 2008, the Industrial Solar Cells team.
Leuven, Belgium and obtained his Ph.D. he has worked as a process development Jef Poortmans, Department
Thin
degree from the KUL in 2000. In 2001, he engineer at IMEC, Belgium. Director Solar & Organic for
Film
was a post-doc at the University of New IMEC, received his Ph.D. in
Harold Dekkers obtained
South Wales, Sydney, Australia, working 1993, after which he joined
PV his industrial engineering
on a Third Generation Photovoltaics topic. IMEC’s photovoltaics group,
Modules degree in 1995 at the school
He then joined the Pacific Solar company where he became responsible
for industrial engineering, in
in Sydney, Australia and contributed to for the Advanced Solar Cells group. He
Dordrecht, The Netherlands.
Power the development of a new crystalline began activity around thin-film crystalline
Generation From 1996 until 1999,
silicon-on-glass photovoltaic technology. Si solar cells and organic solar cells at IMEC
he worked as equipment engineer for
In February 2003, he returned to IMEC and he has been coordinating several
ASM Europe in the R&D group at IMEC,
Market in Belgium, where he is now the head European Projects in this domain. At the
Belgium. In 1999 he got a position as
Watch of the Solar Cell Technology group. He moment he is Program Director of IMEC’s
process engineer in photovoltaics at IMEC
supervises all inorganic solar cell activities Strategic Program SOLAR+. Dr. Poortmans
and started his pre-doctoral program at
at IMEC. has authored or co-authored close to
the Catholic University of Leuven in 2001,
Patrick Choulat graduated 350 papers that have been published
followed by his Ph.D. work in the second
with a B.Sc. in material in Conference Proceedings, books and
half of 2003, which he completed in 2008.
sc iences at the Rob er t technical journals.
G ordon University of Joachim John received his
Aberdeen (Scotland) in diploma degree in physics
1994, and with a Master’s from the Albert-Ludwigs- Enquiries
degree in material sciences at Ecole University in Freiburg in IMEC
National des Sciences Appliquées (INSA), 1993. He received his Ph.D. Kapeldreef 75, B-3001 Heverlee
France, in 1998. Since then, Mr Choulat in physics from the Federal Belgium
has been working at IMEC (Belgium) Institute of Technology (ETH), Switzerland Tel: +32 16 281080 Fax: +32 16 281501
as a development engineer in the field in 1997. From 1990 to 1993 he worked Email: guy.beaucarne@imec.be

6 w w w. p v - te ch. o rg

Vous aimerez peut-être aussi