Đề Tài Điều Khiển Tay Máy Gắp Sản Phẩm Dùng PLC S7 200

Vous aimerez peut-être aussi

Télécharger au format pdf
Télécharger au format pdf
Vous êtes sur la page 1sur 54
pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. LOI NOI DAU Robot va cong nghé cao la nhimg khai nigm cia san xudt ty dong hod hign dai. Mot dae diém quan trong ciia robot céng nghiép 1a ching cho phép dé dang két hop nhig viéc phy va chinh cia mét qué trinh sin xuat thanh mot day chuyén ty dong. So véi cdc phuong tién tu dong hod khic, cde day chuyén ty dng ding robot c nhiéu wu diém hon nu dé dang thay déi chong trinh lam vige, c6 kha ning tao ra day chuyén ty dng tir cdc may van ning, va 66 thé tu d6ng hoa toan phan, Ty dng hoa la mt nhu cau khéng thé thiéu trong qué trinh san xuat ngay nay. Viée img dung tay méy scara vao tu déng héa day chuyén san xuat ma cu thé hon é day 1a day chuyén phan loai san pham trén bang chuyén gitip cho vic san xuat tré nén linh hoat hon, higu qua hon. Véi nhu cau tim hiéu vé hé théng tw d6ng trong san xudt va véi kién thite cia sinh vién nam thir 3 tai truéng dai hoc chang em chon dé tai “ DIEU KHIEN TAY MAY GAP SAN PHAM DUNG PLC 87 200” dé nghién ctu va tim hiéu. Do yéu cau vé kién thite vé thiét ké, tinh toan va diéu khién chinh xdc déi véi thiét ké phan etmg 14 rat cao nén nhém chang em khéng tranh khéi nhing thiéu sot, Do dé, rat mong mu6n duge sy chi bao thém cita cdc thay, c6 va déng g6p cia ban ba dé dé an duge hoan thign hon. Ching em xin chan thinh cém on cé giéo Th.s Pham Thj Hong Hanh da giup d@ ching em hoan thanh do én nay ! pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. MUC LUC LOINOI DAU. MUCLUC.. CHUONG I GIGI THIEU CHUNG 1. Tay may cong nghigp. 2. Cam Bién. 2.1 Cam bién tir E2E (Phat hign sii 2.2 Cam bién quang...... 3. Céng tit hah trinh:..... 4, Céng tic 5. Nat an... 6. Role... 7. Déng Co Mét Chiéu Di 7.1 Cau tao dong co DC 10 7.1.1. Phan cam (stato) at 7.1.2 Phin img (roto): ul TAB. C6 g6ps cece TAA, ChOi GEN: a csosseesteestsnnetnnnnnnionanntsenenenneeneianneensnseee 12 7.2. Nguyén ly lim viée. 8. Khinén. 14 8.1. May nén Khia 8.2. Binh trich chita khi néi 8.3. Mang dudng dng dan khi nén:...... 8.4, Van dd0 ChIGUS .....cscssssseeesssesessssteesssssesenansteesseesenenaneeresseesersaseeres 15) 8.5. Co cdu chap hanh. pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. 8.5.1. Nhigm vy...... we lT 8.5.2. Xilanh.... 218 9. Bang tai 219 CHUONG II. TIM HIEU KY THUAT DIEU KHIEN PLC 20 2.1 Qué trinh phat trién cia ky thuat diéu khién: ..... 20 2.2. Gidi thigu vé PLC:. +20 2.3 Cu tric, nguyén ly hoat dng cia PLC... 221 2.4 Cac hoat déng xir ly bén trong PLC...cccccussesnnnnntnnnnnsnnnaneneen 23 2.5 PLC Simatic $7-200 CPU 224..... 124 2.5.1 Cau trac phan cig cia CPU 224 AC/DC/RLY 224 2.5.2 Cu trie b6 nhé: 126 2.5.3 Mé rong céng vao ra. 227 2.5.4 Thye hién chuong trinh cha 87-200... 129 2.5.5 NgOn ngit lap trinh cha $7-200 CPU 224... cccsvernnenentnntnnnnnees 29 CHUONG III. UNG DUNG PLC S$7-200 CPU224 VIET LADDER CHO TAY MAY GAP SAN PHAM....... oa AT 3.1 Bang dia chi I/O cho co cau. wT 3.2. Chuong trinh LAD cia mé hinh.. 1 48 3.3. Sodé dau day mé hinh.. CHUONG IV KET LUAN.... a 54 pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. CHUONG I GIO1 THIEU CHUNG 1. Tay may cong nghigp Tay méy ( Manipulator ) la co cdu co khi gdm cdc khau khép. Ching hinh cénh tay dé tao cdc chuyén déng co ban cé tay tao nén sy khéo léo, linh hoat va ban tay (End Effector ) dé trye tiép hoan thanh cdc thao tc trén déi tugng . - Két cdu cia tay may Cée tay may cé diém chung vé két cdu 1A gdm c6 céc khdu durge néi véi hau bang cdc khép dé hinh thanh m6t chudi déng hoc hé, tinh tir phan céng tac. Cac khép phé bién 1a khép trugt va khép quay. Tuy theo sé lrgng va cach bé tri cae khép ma ngudi ta cé thé tao ra tay may kiéu toa dé dé cdc , toa dé try, toa 46 cdu, SCARA, va kiéu tay ngudi ( Anthropomorpnic ). 2. Cam Bién Dé diéu khién chuyén déng ciia cdc xy lanh khi nén hay cdc loai co céu chip hanh khae cn c6 st phat hign sy dich chuyén, hay néi cach khéc 1a c6 sy thay déi vé yj tri ho’ thay déi cdc théng sé cua qua trinh trong hé thong diéu khién. Trong phan nay, ching ta dé cp chi yéu dén cac loai cam bién phat hién hai trang thai ON - OFF Tigp diém cia cam bién chia ra lam 2 logi: thrdng déng (Normal Closed - NC) va thuéng mé (Normal Open ~ NO). Céng tic hanh trinh thudng cé cd 2 loai tiép diém NO va NC nhung voi mt cue chung. Khi cé tin higu tac dong thi sé chuyén d6i trang thai ciia 2 tigp diém nay: tigp diém thudmg mé déng Iai va tiép diém thudng déng mara. wv _| wv ty. 24V _y Oust E E ov ov ov Cam bin quang Cam bin dign dung Cam bign edim ting tit DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 2.1 Cam bién tir E2E (Phat hign sat tir): Y Ding dé phat hign cdc vat thé bing kim loai, thuong ding dé khéng ché cic hanh trinh. Khoang cach phat hién cia cam bién loai nay phy thude rat nhigu vao vat ligu cia vat cam bién, cde vat ligu cé tir tinh hdc kim logi ¢6 chira sit s€ c6 khoang cach phat hign xa hon céc vat ligu khong cé tir tinh, Y Da chite nang, khoang céch phat hign t6i 30mm Y V6 boc ding thau hod thép khéng gi cho d6 bén cao Y C&c model DC 2 day, 3 day va 4 day (NO + NC). — Series NO PM12.02P-12 eacarnioale z= os No Renée Files PRODUCT DESCRLPTLON Dipd| ORE Ta pcs Status | Method Method zoo] no [NPN ra 20mm oe Ne en 10-30VDC 2.5KHZ Flushed (PM12-02P-M12|_NO ‘PNP PM12-02P8- 2.0mm 12028: [nc | pnp ™@ Ung dung vio mé hinh tai cde co cdu Input: ¥ 10.3 (Ta) cam bién xéc dinh vj tri tay may bén én. Y 10.4 (Tb) cam bién xc dinh vi tri tay may bén dudi ¥ 10.5 (Te) cim bién xée dinh vi i tay may bén trai, Y 10.6 (Td) cim bién xée dinh vj tri tay may bén phai 2.2 Cam bién quang: Anh sdng tir nguén sing duge tap trung béi thu kinh hdi ty va chiéu thing vao vat. DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 Tia sing phan xq tir vat duge tp trung lén dung cy cam bién vi tri (PSD; position sensing device) bang thau kinh thu. Néu vi tri vat ( khoang cach dén thiét bj do) thay ddi, hinh anh vj tri vt hinh thanh trén PSD sé khdc di va néu & trang thai cin bing ciia hai ngo ra PSD thay déi anh vj tri vat hinh thanh trén PSD sé khdc di va trang thai cd bing cua 2 PSD ciing thay di. Thong SO KF Thuat Hinh anh © Khoang cach thu nhan tin higu: 50cm. © Dign 4p ngé ra: 12 - 240VDC hoac 12 -240VAC. © Dong tigu thy max DC/AC: 3mA max. Ung dung mé hinh tai co cdu input ¥ 10.7 (PDA) cam bién xc dinh cé sin pham, 3. Céng tat hanh trinh: Céng tit hanh trinh truéc tién 1 cdi cong tac tire 14 lim chire ning déng mo mach dign, va né duge dat trén dudng hoat dong cia mot co cdu ndo dé, sao cho khi co cau dén 1 vi tri nao do sé tac déng lén céng tic. Hanh trinh cé thé 1a tinh tién hodc quay. Khi cong tic hanh trinh duge tic déng thi no sé lim déng hodc ngat mt mach dign do dé cé thé ngat hodc khéi dng cho mét thiét bi khac. Nguai ta cé thé ding céng tac hanh trinh vao cac myc dich nhu: DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 Giéi han hanh trinh ( khi co cdu dén vi tri déi han tac dong vao céng tic sé lim ngit ngudn cung cp cho co céu > né khéng thé vurgt qua vj tri gidi han) Hanh trinh ty d6ng: Két hgp vai cde role, PLC hay VDK dé khi co cau dén vi tri dinh trade sé tac déng cho cdc co cdu khic hoat dong (hoc chinh co cdu dé). Céng tic hanh trinh duge ding nhiéu trong cdc day chuyén ty dong... Cac cong tic hanh trinh cé thé la cdc nhitt nhan (button) throng déng, thudng mé, céng tac 2 tiép diém, va cd cng tic quang. Loxo Loxolé Tiép diém trong he Truc dén_| “ Tiép diém thong dong hucong 4, Cong tac Trong ky thuat diéu khién, céng tic, mit 4n thude phan ti dua tin higu. Co hai loai céng tac théng dung: céng tic déng — mé va céng tic chuyén mach quay. = | Céng tic dong mo Céng tic chuyén mach Céng tic va ky higu cia céng tac DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 5. Nut an - Niit dn déng- mé: Khi chua tc dng thi chura c6 ding dign chay qua (ma), khi téc dng thi ding dign sé di qua - Nat dn chuyén mach s€ chuyén trang thdi ciia mach Ee Nit dn déng — mo et) Ro le dong mach Cau tao va ky higu nit an ‘Nat dn dong mo ‘Nit an khan cdp M6t sé hinh anh cia mit an 6. Role Trong ky thudt digu khién, role duge sir dung nhu phan tir xir ly tin higu, C6 nhigu loai role khdc nhau tiy vio céng dung. Nguyén tic hoat déng cita role 18 tir trvdng cla cugn day, trong qué trinh déng mé sé cé hign tung ty cém a. Role déng mach - Nguyén ly lam vige: Khi dong dign vao cugn day cam img, xudt hign luc tir trudng sé hit 16i sit, trén dé cé lap cdc tiép diém. Cac tiép diém dé c6 thé 1a tiép diém chinh dé déng , mé mach chinh va cac tiép diém phy dé déng, mé mach digu khién. DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 -Ky Ra le déng mach b. Role diéu khién - Nguyén ly hoat dng; tuong ty nhu role déng mach nhung khdc role déng mach 6 ché chi ding cho mach diéu khién 6 céng suét nhé va thai gian déng mo cae tiép diém rat nhé (tir Ims dén 10ms). ~ Cau tao va ky héu Tigp aide _-bin by kK Cau tao va ky hiéu role diéu khién c. Role thai gian dong chim ~ Nguyén ly lim vige: Twong ty nhu role théi gian tée déng chm ciia phin tt khi nén, Gém cée phan tir: diot twong ty van 1 chiéu, ty dign nhu binh chia, dign tro R hw van tiét hru. Ngoai ra tu dign con cé nhigm vu gidm dign 4p quat t3i trong qué trinh ngat, d. Role thi gian ngat cham - Nguyén ly kim vigc: tuong ty nhu role théi gian ngit cham ciia phan tir khi nén, Gém ede phan tir: diot nhu van dao chiéu, ty dign nhu binh chita, dign tr Ry DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 nhu van tiét Iwu, Ngoai ra tu dign cdn e6 nhigm vu gidm dign 4p qué tai trong qua trinh ngat. ~ Cau tao va ky 7. Dong Co Mét Chiéu DC: Déng co dign mot chigu duge str dung 6 nhimg noi yéu cau mé may 1én hode yéu cdu diéu chinh tée d6 bang phing va pham vi rong nhu : bang tai, thang may, may ép... Nhuge diém chit yéu cua ding co 1 chiéu 18 cd gép c6 cdu tgo phite tap, dit tién va kém tin cay. Can phai cé ngudn dign 1 chiéu kem theo. 7.1 C4u tao djng co DC Nhiing phan chinh gém cd: v6, truc, phan cam (stato), phan tmg (roto),cd gép, chdi dién. DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 7.1.1, Phan cam (stato): Stato gdm c6 Idi thép va cudn day kich tir, Cudn day kich tir duge dat vao trong 1éi thép dé tao thanh mét nam chim dign. Trong déng co nhé stato c6 thé 1a nam cham vinh ciru, Tuy nhién trong dong co lén thudng 1a nam cham ign, tech tr 7.1.2 Phan img (roto): Roto gém cé Idi thép va day quan phan img. Lai thép hinh try lam bing cae 1a thép ky thuat pha son cdch dign ghép lai. Day quan phan img cé dang cuén va duge dat trong cach ranh cia 10i thép. Roto duge ldng vio gitta cdc cudn day kich tir, duge dé bing 6 bi va nap vo. Te Cae cudn day Loi thep phan ting DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 7.1.3. Cé gop: Cé gép bao gém cac phién gép bang ding duge ghép cach din, c6 dang hinh tru, gin 6 dau true roto 7.1.4, Choi dign: Chéi dign hay cén goi 1A chéi than. Cac chéi dign duge ty chit lén mat cé gép nha ld xo va gid chéi dién gin trén nip may dé cung cap dién ap 1 chiéu cho dong oo, Chite ning cia chéi than — vanh gép 1a dé dua dién 4p mét chiéu va déi chiéu dong dign trong cun day phan ing. Sé Iuvgng chéi than bang sé lugng cyc tir (mot nita ¢6 cye tir dm, mt nia 6 eye tir dung), 7.2. Nguyén ly lam Day quan ee) So dé nguyén ly ctia déng co DC Nov ta thay 6 trén déng co dign 1 chiéu cé hai phan tir dign 1a day quan kich tir va day quan phan tmg. Day quan phan img lam tir day dan dién c6 cac dau duge néi véi cé gép. Dién ap 1 chiéu duge dat vao cuén day phan img thong qua chéi than ty lén 6 gép. - Khi dat dign ap 1 chiéu Vf vio cudn day kich tir, mOt nam cham dign véi cae eye bic nam hinh thinh va sinh ra tir trudmg goi 1A tir trudng eye tir. Tir tring nay tinh ( khéng quay). DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 - Khicho dién ap 1 chiéu Va vao 2 chéi dién , trong day quan phan img sé cé dong dign 1 chigu Ia di qua va sinh ra 1 tir trudng goi la tir tréng phan ung. Tir tnrdng cye tir va tir trrdng phin tng sé tuong tae voi nhau va lam cho truc déng co quay. Tir trugng cue tir va tir truong phan img Khi roto quay sinh ra mét dign 4p phan img (site dign dng cdm ing) Ea trén day quan . Ea cé chiéu nguge véi Va nén goi Ia site phan dign, sé lam gidm dién ap dat vio phin img, D6 1én cua site phan dién phu thudc vao sé vong day quan trong cudn day, mat 6 tir thong va t6c d6 quay cua roto. Anh huang cia site dign dng cam img lén dign ap dat vao roto pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. Khi 6 ding dign chay trong cugn day dat trong tir trudng, lye dign tir sé tao ra momen lam quay khung day. Cé gép cé tic dung déi chiéu sau méi nita chu ky quay. Uudiém: - Dong co DC cé mé-men quay cao,thdi gian dap img nhanh. - Gi thanh khéng cao - Cho phép diéu khién dién dp chinh xdc, ma can thiét véi téc d6 va cic img dung diéu khién mé-men. - DGng co DC hoat déng t6t hon so vai déng co AC trén thiét bj kéo - . Déng co DC duge thuén tién cam tay va rat thich hgp cho cdc img dung dic biét, chang han nhu cdc céng cu cong nghiép cam tay may moc thiét bj 8. Khinén Cac phan tir khi nén: 8.1. May nén khi: May nén khi la thiét bj tao ra ap suat khi, 6 d6 nang lugng co hoc cia dng co dign hodc dong co dét trong dugc chuyén déi thinh nang long khi nén va nhiét nang. Phan lam 2 lo: - Phan loai theo ap suat. + May nén khi dp suat thap p <= 15 bar + May nén khi 4p sudt cao p>= 15 bar + May nén khi dp suat rat cao p>= 300 bar DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 - Phan logi theo nguyén ly hoat ddng. + May nén khi theo nguyén ly trao déi thé tich: May nén khi kiéu pittong, may nén khi kiéu cach gat, may nén khi kiéu root, may nén khi kiéu true vit + May nén khi tuabin: Méy nén khi ly tam va may nén khi theo chiéu truc, 8.2. Binh trich chira khi nén: Khi nén sau khi ra khoi may nén khi va duge xir ly thi can phai c6 mt b6 phan luu trit dé str dung. Binh trich chita khi nén cé nhiém vy can bang Ap suat khi nén tir may nén khf chuyén dén trich chita, ngung ty va tach nude. Kich thud binh trich chita phy thudc vio céng sudt cia may nén khi va cong suat tigu thy cia cde thiét bi str dung, ngoai ra kich thuée may con phy thude vao phuong phdp str dung: vi dy str dung lign tye hay gién doan. 8.3. Mang dwang éng dan khi nén: Mang duéng dng dan khi nén [a thiét bj truyén dan khi nén tir may nén khi dén binh trich chita réi dén cdc phan tir trong hé théng diéu khién va co céu chp hanh. 8.4. Van dao chidu: Van dao chiéu cé nhiém vu diéu khién dong nang Iugng bang cach déng mo hay thay déi vi tri cdc ctra van dé thay d4i huéng cia dong khi nén. cee van Nong van 2! Drea Plaza za Bi 1) Khi chuer ob ti hide tic dmg 1b) Khi 6 tn hie tic ding Trang thai khi OFF va ON cia van dao chiéu. pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. * Ky higu cia van dio chiéu Vi tri cia néng van duge ky higu bang cdc 6 yudng lién nhau véi cde chit cdi 0,a,b ,c,... hay cdc chit s6 0, 1,2, ao b ab Vj tri ‘khong’ 1a vj tri ma khi van chu cé tac dng cia tin higu bén ngoai vao. Boi véi van cé 3 vi tri, thi vj tri o gitta, ky higu ‘o’ 1a vi tri ‘khong’. di voi van cd 2 vj tri thi vj tri ‘khong’ cé thé 1A ‘a’ hoac *b’, thong thudng vi tri bén phai ‘b’ la vi tri ‘khong’. lI lI | [? a b Hinh 1.27: Ki higu cita xt Trung hop a 1a cita xa khi khong cé méi ndi cho dng din, cn ctra xa khi 6 ‘méi néi cho ng dan khi la truéng hop b. Bén trong 6 vudng cia méi vj tri 1a cdc duéng mii tén biéu dién huéng chuyen dng cia dong khi nén qua van. Khi dong bj chin thi duge biéu dién bang dau gach ngang. 4@)| 2A Cita ndi did thién 14(Z 1 12(¥ Cita nd didn Bin Cita Indi vii eta (Cita Indi vi eta 2 3(R Cita x th hing 06 mdi ndt cho dng dn (Cina xi Bs 06 mdi SUS) di cho dng din © 1(P) Néi wi nguin Ahi nen Hinh 1.28: Ky higu va tén goi ciia van dio chiéu pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. Hinh tréi 1a ky higu ca van dao chiéu 5/2 Trong dé: 5: chi sé cia va 2: chi sé vi tri. Cach goi tén va ky higu cua mét s6 van dao chiéu: TEN THIET BI KiHIEU Van dao chiéu 2/2 Van dao chiéu 4/2 Van dao chiéu 5/2 "* Tin higu tae dong: Tin higu tac déng vao van dao chiéu cé 4 Jogi la: tac dong bang tay, tac dong bing co hoe, tac dong bang khi nén va tac dong bang nam cham dién. Tin higu tac dng tir 2 phia ( d4i véi van dao chiéu khong c6 vi tri ‘khdng’) hay chi tir 1 phia (46i vi van dao chiéu cé vi tri ‘khéng’). 8.5. Co cu chap hanh. 8.5.1. Nhigm vy Co cau chap hanh cé nhiém vy bién déi nang lugng khi nén thanh ning Iugng co hoc. Co cau chap hanh cé thé chuyén dng thang (xilanh) ho&c chuyén déng quay (49ng co khi nén). DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 8.5.2. Xilanh, Xilanh tée dung don - Ap lye khi nén chi tic dong vao mét phia cua xilanh, phia cdn Iai do ngogi lye hay 10 xo tae dong. ~ MGt sé loai xilanh tac dng 1 chiéu: AAAA + a b Chigu tac dong nguge lai do ngoai lye (a) va do lo xo (b) Xilanh tac ding 2 chidu (xilanh tac dng kép). Khi nén duge dua vao 2 phia cta xilanh, do yéu cau diéu khién ma xilanh di vao hay di ra sé tuy thuéc vao viée dua khi nén vao phia nao cua xilanh. Xilanh quay Hinh biéu dién tugng trung cua xilanh quay. Hai ngé vo diéu khién dé diéu khién pittong cé rang di chuyén qua lai. Khi can pittong di chuyén sé an khép voi ] banh ring lam banh ring quay. Truc banh rang sé duge gin véi co edu chuyén dong. DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 Uu nhugc diém cata khi nén: Undid — Khong gay 6 nhiém mdi truong. — Cé kha nang truyén tai ning long di xa do dé nhét dng hoc cia khi nén nho, ton that trén doc dudng thap. — Hé6 théng phong ngita qua ap suat gidi han duge dam bao. Nhuge dim: Khi tai trong thay déi, van téc truyén cing thay déi. — Dong khi nén thoat ra gay tiéng én 1én. — Binh khi nén c6 kich thuée 1én, cong kénh. 9, Bang tai Bang tai 1a mt co ché hodc may co thé van chuyén m6t tai don (thing carton, hp, tui..) tir m6t diém A dén mét diém B Hé théng bang tai 1a thiét bi truyén tai cé tinh kinh té cao nhat trong tng dung van chuyén hang héa nguyén vat ligu trong qua trinh san xuat Thanh phan va cau tao bing tai : - MOt déng co gidm téc, truc vit va b6 diéu khién téc do - B6 con Jin, truyén lye chi déng - Hé théng khung 4@ con lin - Hé théng day bang hodc con lan pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. CHUONG IL. TIM HIEU KY THUAT DIEU KHIEN PLC 2.1 Qua trinh phat trién cia kf thudt diéu khi HG théng diéu khién la gi? Hé théng diéu khién 1a tap hop cdc thiét bi va dung cu dién tir. Né ding dé van hanh m6t qua trinh m6t cach 6n dinh, chinh xdc va théng sudt. Hé théng diéu khién ding role dign: Sur bat diu vé cudc cach mang khoa hoc ky thuat dic biét vao nhing nam 60 va 70, nhig may méc ty dong duge diéu khién bang nhimg role dign tir nhu cdc b6 dinh théi, tiép diém, b6 dém, relay dién tir. Nhing thiét bi nay duge lién két véi nhau dé tré thinh mét hé théng hoan chinh bing vé sé cdc day dign bé tri ching chit bén trong panel dign (ta diéu khién). Nhu vay, véi | hé théng cé nhiéu tram 1am viée va nhiéu tin higu vao/ra thi th diéu khién rat lon. Diéu do dan dén hé théng cong kénh, sita chita khi bi hong rat phir tap va kho khan. Hon nita, céc role tigp diém néu cé sy thay déi yéu cau diéu Khién thi bit bude thiét ké Iai tir dau, khién ding PLC: ‘VGi nhimg khé khan va phire tap khi thiét ké hé théng ding role dié HG théng nhimg nim 80, ngwdi ta ché tgo ra cdc b6 diéu khién c6 Ip trinh nhim nang cao dé tinh ¢ trong méi truéng céng nghiép khic nghiét PLC. cdy, 6n dinh, dap tg hé théng lam vi dem lai higu qua kinh té cao dé 1 bO diéu khi BO digu khién I4p trinh PLC (Programmable Logic Controller) duge sing tao tir nhimng y tuéng ban dau coa mot nhém ky su thude hing General Motor vio nim 1968. Trong nhiing nim gan day, b6 diéu khién lap trinh duge sir dung ngay cing rong rai trong céng nghigp cha nude ta nhu 1a 1 giai phap ly twéng cho vid w dong héa cdc qué trinh sn xudt, Cling véi sy phat trién cong nghé may tinh dén n lap trinh dat duge nhing wu thé co ban trong mg dung diéu khién cong nghiép. DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 Nhu vay, PLC la mt may tinh thu nhé nhung véi céc tiéu chudn céng nghigp cao va kha nang lép trinh logic manh, PLC la dau nao quan trong va linh hoat trong digu khién ty dong héa. TPC-1S70H Rs-232 Humidity Sensor Temp Sensor Heater Fans Damper 2.3 Céu trac, nguyén ly hoat dong cia PLC & Cau trae © Don vj xt ly trung ta CPU diéu khién cdc hoat déng bén trong PLC. B6 xt ly sé doc va kiém tra chuong trinh duge chita trong b6 nhé, sau dé sé thye hign thir ty timg Jénh trong churong trinh, déng hay ngit cdc dau ra. Céc trang thdi ng6 ra dy durge phat t6i cde thiét bj lign két dé thyc thi. Toan bd cdc hoat déng thu thi dé déu phy thudc vao chuong trinh diéu khién duge gitt trong b nhs. pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. © Hé thing bus Hé théng Bus 1a tuyén ding dé truyén tin higu, hé théng gdm nhiéu dudng tin higu song song dia chi dén céc Module khdc nhau. Address Bus: Bus dia chi ding dé truyé Data Bus: Bus ding dé truyén dé ligu. Control Bus: Bus diéu khién ding dé truyén cdc tin higu dinh thi va diéu khién dong bé cdc hoat dong trong PLC © BE nhe Bao gdm cdc logi b6 nhé RAM, ROM, EEFROM, la noi luu trit céc théng tin can xtr ly trong chuong trinh cia PLC. B6 nhé duge thiét ké thinh dang module dé cho phép dé dang thich nghi véi cdc chite nang diéu khién véi cdc kich cé khac nhau. Mu6n mé rong b6 nhé chi can cim thé nhé vao ranh cém ché sin trén module CPU.BO nhé c6 mot tu ding dé duy tri di ligu chong trinh khi mat dién Kich thuée bé nhé: @ Cac PLC loai nhé cé thé chira tir 300 - 1000 dong lénh tiy vao cng nghé ché tao. # Cac PLC loai Ién c6 kich thude tir 1-16K, c6 kha nang chita tir 2000 - 16000 dong Iénh, Ngoai ra con cho phép gin thém b@ nhé mé réng nhu RAM, EPROM. * Cac ngé vio ra VO Cée dudng tin higu tir bd cam bién duge néi vao cc module (céc dau vio cia PLC), co cdu chap hanh duge néi véi cic module ra (cdc dau ra cita PLC). Hau hét cée PLC cé dign p hoat dng bén trong 14 SV, tin higu xirly 1a 12/24 VDC hoge 100/240 VAC. pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. M&i don vi VO cé duy nhat mét dia chi, cdc hién thi trang thai cua cac kénh W/O duge cung cap bai cac dén LED trén PLC, diéu nay lam cho viéc kiém tra hoat dong nhap xuat tro nén dé dang va don gian. B6 xir ly doc va x4c dinh cac trang thai dau vao (ON, OFF) dé thyc hign viée déng hay ngit mach 6 dau ra © Be nguein: Bién déi tir nguén cap bén ngoai vao dé cung cap cho su hoat dong ctia PLC. © = Kh@i gulin ly ghép nei Ding dé phdi ghép gitta PLC vi cdc thiét bi bén ngoai nhu may tinh, thiét bj lap trinh, bang vén hanh, mang truyén théng céng nghiép. 2.4 Cie hoat dong xir ly - Xir ly chwong trinh én trong PLC Khi m6t chong trinh di duge nap vao bd nhé ciia PLC, cae lgnh sé duge trong mét ving dia chi rigng 1é trong b6 nhé. PLC c6 b@ dém dia chi 6 bén trong vi xir ly, vi vay chuong trinh & bén trong bo nhé sé duge bé vi xir ly thye hién mt cach tuan ty timg énh m6t, tir dau cho dén cudi chuong trinh. Méi Jan thye hign chuong trinh tir dau dén cudi duge goi la mot chu ky thye hign. Thai gian thyc hign mét chu ky try thuge vio te dé xir ly cia PLC va 46 1én ciia chuong trinh. Mét chu ly thyc hign bao gém ba giai doan néi tiép nhau: @ Dau tién, b6 xi ly doc trang thai cia tit ca dau vao. Phan chuong trinh phuc vu céng vige nay cé sin trong PLC va duge goi la hé digu hanh. @ Tiép theo, b6 xt ly sé doc va xi ly tun ty Iénh mét trong chong trinh. Trong ghi doc va xtr ly cde 1énh, bd vi xir ly sé doe tin higu cdc dau vio, thy cae phép todn logic va két qua sau dé sé xe dinh trang thai cia cde dau ra. DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 @ Cudi cing, bé vi xt ly s@ gan cac trang thi méi cho cdc dau ra tai cdc module dau ra, -Xir ly xudt nhap Gém hai phuong phap khdc nhau ding cho vige xtr ly /O trong PLC > Cép hat lién tue Didu nay ddi hoi CPU quét cdc lénh ngd vio (ma ching xudt hign trong chuong trinh), khoang théi gian delay dugc x4y dung bén trong dé chic chin ring chi cé nhiing tin higu hgp ly méi duge doc vao trong bO nhé vi xir ly. Cée lénh ngo ra duge lay tryc tiép t6i cdc thiét bj. Theo hoat déng logic cia chuong trinh , khi lénh OUT duge thye hign thi cde ngé ra cai lai vao don vj I/O, vi thé nén ching van gitt duge trang thai cho téi khi lan cap nhat 2.5 PLC Simatic S7-200 CPU 224 Bad 2.5.1 Cau trie phan cing cia CPU 224 AC/DC/RLY 87-200 1a thiét bi diéu khién logic kha trinh loai nhé cia Hang SIEMENS (CHLB Ditc) cé cau tric theo kigu Modul va c6 céc modul mé rng. Cac modul nay duge sit dung cho nhiéu ttng dung |p trinh khac nhau. Thanh phan co ban cia 7-200 la khdi vi xix ly CPU-224 pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. PLC Siemens $7-200, CPU 224, 14 vao, 10 ra relay, nguon 220 VAC PLC S7-200, CPU 224. 6ES7214-1BD23-0XB8 Ngudn cung cdp: 220 VAC. Ng6 vio: 14 DIDC. Ngé ra: 10 DO Relay. BG nhé chuong trinh: 12KB. BO nhé dit ligu: 8KB. Profibus DP extendable. BDiéu khién PID: Co, Phan mém: Step 7 Micro/WIN. Thoi gian xir ly 1024 1énh nhj phan : 0.37ms. Bit memory/Counter/Timer : 256/256/256. B6 dém téc d6 cao: 6 x 60 Khz. B6 dém lén/xuéng: C6. Ngit phan cimg: 4. $6 dau vao/ra c6 sn: 14 DI/ 10D0. $6 dau vao / ra sé cyc dai ( nhé lip ghép thém Modul sé mé réng: DI/DO/MAX: 94/74 / 168 $6 dau vao / ra tuong tu ( nho lip ghép them Modul Analog mo rong: AVAO/MAX: 28 / 7/35 hode 0 / 14/14. IP 20 Kich thuée: ROng x Cao x Sau : 120 x 80 x 62. Cée dén bao trén S7-200 CPU224 @ SF (dén dé): Dén dé SF bao higu hé théng bj hong, ¢ RUN (dén xanh): Dén xanh RUN chi dinh PLC dang 6 ché d6 lam vige va thye hign chuong trinh duge nap vao trong may. STOP (dén vang): Dén vang STOP chi dinh ring PLC dang 6 ché 46 dimg chuong trinh va dang thye hign Iai. pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. + Ché dé lam viée PLC c6 3 ché dé lam viée: RUN: cho phép PLC thyte hign churong trinh timg bO nhé, PLC sé chuyén tir RUN sang STOP néu trong may c6 su cé hoe trong chuong trinh gap lénh STOP. @ STOP: Cudng bite PLC dimg chong trinh dang chay va chuyén sang ché d6 STOP. TERM: Cho phép may lép trinh ty quyét dinh ché 46 hoat déng cho PLC ho&c RUN hodc STOP. Cong truyén théng $7-200 str dung céng truyén théng néi tigp RS485 véi phich ndi 9 chan dé phuc vu cho viée ghép néi_ véi thiét bi lap trinh hoje véi cdc tram PLC khic. Téc dé truyén cho may lap trinh kiéu PPI 1a 9600 baud. Téc d6 truyén cung cap cia PLC theo kiéu ty do 1 300 +38.400 baud. Dé ghép néi $7-200 vai may l4p trinh PG702 hode cac loai may Jép trinh thugc ho PG7xx cé thé ding mt cép néi thing MPI. C4p 46 di kém voi may Jap trinh, Ghép néi $7-200 véi may tinh PC qua cong RS232 can cé cap ndi PC / PPI véi bé chuyén déi RS232/ RS485. 2.5.2 Cau tric bé nhé: B6 nhé $7-200 duge chia thinh 4 ving véi 1 tu cé nhigm vy duy tri dit ligu trong mt khoang théi gian nhat dinh khi mat nguén. BO nhé $7-200 cé tinh nang dng cao, doc, ghi duge trong toin ving, loai trir ic bit nhé dic bigt SM (Special memory) chi cé thé truy nhap dé doe. pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. @ Ving chuong trinh La nguén nhé duge str dung dé luu git cic nh chwong trinh. Vang nay thudc kiéu non-volatile doc/ghi duge. Ving tham sé La mién hvu gitt cdc tham sé nhu: tir khéa, dia chi tram, ... cing giéng nhu ving chong trinh, thugc kiéu non-volatile dgc/ghi duge. © Ving dit ligu La mién nhé dng duge sit dung dé ct git cdc dit ligu cia chutong trinh. N6 cé thé duge tray cp theo timg bit, timg byte, timg tir don (W-Word) ho§c theo tit kép (DW_ Double Word), ving dit ligu duge chia thank nhimg mién nhé nhé cae céng dung khée nhau, Ching duge ky higu bing chit cdi dau theo tir tiéng Anh, dic trung cho cong dung riéng cia chang nhu sau: V_: Variable Memory. I: Input image register. O : Output image regiter. M : Internal Memory bits. SM: Special Memory bits. Tit ca cdc mién ny déu cé thé try nhép theo timg bit, tig byte, timg tir (word) hod tir kép (double word). © Ving déi twong Bao gém cc thanh ghi Timer, b6 dém téc d6 cao, bd dém vao ra, thanh ghi AC. ‘Ving nay khong thugc kiéu Non-Volatile nhung dge/ ghi duge 2.5.3 Mé rong cong vao ra CPU 224 cho phép mé rong nhiéu nhdt 7 Modul. Céc modul mé rong tuong ty va cé thé mé réng céng vao ctla PLC bang cch ghép néi thém vio né cac modul mé r6ng vé phia bén phai cua CPU, lim thinh m@t méc xich . Dia chi cia cdc vj tri pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. cla cde modul duge xée dink ci g kigu . Vi du nhur m6t modul céng ra khong thé gan dja chi cia m6t modul céng vao, cing nhu mét modul tuong ty khong thé c6 dia chi nhur mgt modul s6 va nguge lai. Cac modul mé réng sé hay tong ty déu chiém ché trong b6 dém, twong ty véi s6 dau vao/ra cia modul. Modul 0 | Modul! | Modul2 | Modul3 | Modul 4 cPu224 4vaol4ra | 8 vao 3vao/lra | 8 ra 3vao/lra Analog 10.0 Q00 | 120 BO AIW0 Q3.0 | AIWs 0.1 Qo. | m4 BA ATW 2 3.1 | Arwi2 10.2 Q02] 12.2 B.2 AW 4 Q32 | AQw4 103 Q03 | 123 B3 Q3.3 10.4 Q04] Q20 B4 Q3.4 105 Qos | Q2i BS AQWO | Q3.5 10.6 Q06 | Q2.2 B6 Q3.6 10.7 Q07 | Q23 1.7 Q3.7 1.0 Ql nt Qa n2 n3 n4 ns Bang dia chi cua mét sé modul mé rong trén CPU 224 pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. chuong trinh cia S7-200 PLC thy hign chuong trinh theo chu ky lip. MGi vong lip duge goi 1a vong quét (scan). MGi vong quét duge bit dau bing giai doan doc cdc dit ligu tir cic céng vao ving bé dém ao, tiép theo 1a giai doan thye hién chuong trinh. Trong ét thite tai timg vong quét, chuong trinh duge thye hién bang Iénh dau tién va lénh két thac MEND. Sau giai doan thyc hién chuong trinh 18 giai doan truyén théng ndi bé va kiém Idi. Vong quét duge két thiic bing giai doan chuyén cdc ndi dung cia bé dém do téi cdc céng ra. 4, Chuyén dit ligu tir bo 1, Nhgp dit ligu tir 3. Truyén thong va 2.Thye hién So d6 ving quét cia chwrong trinh chyong trinh Nhu vay tai thai diém thye hign Iénh vao/ra thong thudng lgnh khong kim vige truc tiép céng vao ra ma chi théng qua b6 dém ao ciia céng trong ving nhé tham sé. Vige truyén thong gitta b6 dém do véi ngoai vi trong cdc giai doan (1) va (4) do CPU quan ly. Khi gap Ignh vao / ra ngay lap tire hé théng sé cho dimg moi cong khéc, ngay ca chwong trinh xir ly ngét dé thye hién Iénh nay tryc tiép véi céng vao va ra, 2.5.5 Ngon ngit lap trinh cia 7-200 CPU 224 Phuong php lip trinh $7-200 biéu dién chong trinh duéi dang m6t mach logic cing bang mét day cae Iénh va khéi chuong trinh theo thir ty quy dinh. Cac lénh va khdi nay sé lan lugt duge quét trong chuong trinh tir dau dén cudi trong mot yong quét. PLC sé lam vige ngay tai vong quét dau tién va tir dé thye hign lign tue chu ky quét. Trong mai vong quét néu cé mét Iénh duge goi PLC sé nhan Jénh dé va thye hién, néu khéng quét kip thi tai vng queét tiép theo sé thyc hign. pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. C6 ba phuong phap lip tinh co ban: - Lap trinh hinh thang (LAB — Ladder Logic). - Phuong php khéi ham (FBD — Funtion Block Diagram). - Phuong phdp ligt ké cau lénh (STL — Statement List). Néu chuong trinh duge viét theo kigu LAD hoac FBD thi cé thé chuyén sang dang STL nhung khéng phai moi chuong trinh viét bang STL déu 6 thé chuyén sang hai dang kia. LAD La ng6n ngir lap trinh bing dé hoa mé phéng theo mach relay. Cac phan tir co ban ding dé biéu dién lénh logic. - Tiép diém: M6 ta cdc tiép diém ding trong mach relay, todn hang cia tiép diém ding trong chuong trinh 1a bit. Cé hai loai tiép diém: thuong déng va thudng mo - Cugn day: mé té cudn day relay. Todn hang sir dung 1a bit. ~ Hop: La biéu tung mé ta cdc ham khdc nhau lim vige khi cé tin higu dén kich. Nhimg him thudng duge biéu dién bang hOp 1a cdc ham tao théi gian (Timer), ham dém (Counter) va cdc ham toan hoc. - Mang LAD: La duéng néi ce phan tir thanh mét mach hoan chinh. Théng thudng cdc tin higu dign phai di tir day néng qua thiét bj réi dén day trung hoa sau dé vé ngudn, tuy nhién trong phan mém lép trinh chi thé hién day néng va bén trai va céc dudng néi dén thiét bj tir do. Phuong php ligt ké Ignh 14 phuong phap lap trinh bing céch tp hop cdc cau 1énh, méi cau 1énh thé hign mét chite nang cua chuong trinh. Dé tao mt chong trinh dang STL ngwéi lép trinh can phai hiéu ro phuong thire sir dung ngan xép. Ngan xép logic 14 m6t khéi gém 9 bit chéng én nhau tir SO S8. ‘ac thuat todn lién quan dén ngin xép déu chi lam viée voi bit du tién (SO) va bit thit hai (S1) cua ngin xép. Gié tri logic méi déu cé thé duge giti hodc néi thém yao ngan xép. Khi phéi hgp hai bit dau tién cua ngan xép thi ngin xép sé duge kéo lén mot bit. pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. va tén bit: Ngan ‘SO Bit dau tién hay bit trén cing cua ngan xép SI Bit tha hai cia ngin xép S2 Bit thir ba cia ngin xép S3 Bit thir tu cua ngin xép S4 Bit the nam cia ngin xép S5 Bit thr séu cia ngin xép S6 Bit ther bay ciia ngan xép S7 Bit thir tim cia ngan xép S8 Bit thir chin cia ngin xép FBD: La phuong phap 1p trinh khéi ham mé phong cac Iénh va khéi lam viée trong mach s6. Cac phan tir co ban trong phuong phdp nay la cdc khéi lénh durge lién két véi nhau Tap Lénh S7-200 Tap Iénh cia $7-200 chia lim ba nhém: ~ Cée lénh ma khi thye hign thi lim vige déc lap khéng phy thuge vao gid tri logic cia ngin xép. = Cac lénh chi thye hign khi bit dau tién cha ngin xép cé gid tri logic bang 1 - Cac nan Ignh dinh ddu vj tri trong tp Iénh hay cdn goi la nhém lénh diéu khién chuong trinh, Ca ba phuong phap déu str dung ky higu I dé chi cdc Iénh lam vig tire 18 gid tri duge chi dinh trong 1énh vita duge chuyén vao thanh ghi 40 duge chuyén ngay dén tiép diém duge chi din ngay trong Iénh ngay khi duge thye hign chit khéng phai ch dén giai doan trao déi véi ngoai vi cia vong quét. Diéu dé khac véi lénh khéng tire théri 1a gid tri chi chuyén vao thanh ghi do khi thyc hién enh. pO AN DIGU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6. Céc nhém Ignh duge cho trong cay Iénh cia 7-200: - Bit Logic: Tap Iénh kim vige véi bit. - Clock: Tp Iénh lam vige voi théi gian cua hé théng. - Communication: Tap lénh truyén théng. - Compare: Tép Iénh so sinh, ~ Convert: Tap lénh bién déi - Counter: Tap cac b6 dém. - Floating-Point Math: Tap Iénh todn hgc lam vige véi sé thyc. - Integer Math: Tap lénh todn hoc lim viée voi sé nguyén. - Interupt: Tap Jénh lam vigc véi chuong trinh ngit. - Logical Operations: Tap lénh cdc phép tinh logic bién déi. - Move: Tap lénh di chuyén dé ligu. ~ Programe Control: Tap lénh diéu khién chuong trinh - Shift/Rotate: Tp lénh dich/quay lam vige véi thanh ghi. = String: Tap lénh lam viée véi chudi. - Table: Tép lénh lam vige véi bang dir ligu. - Timers: Tap cdc bé dinh thai gian ~ Call Subroutin: Tap lénh goi cde chtrong trinh con. Cac Iénh co ban duge sit dung trong $7-200 (Céc Iénh sau day chi duge mé ta cho phuong php lp trinh LAD ): DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 1, Cac lénh Lam vige v6i bit logic a, 4 . Kita LoahiMo ta Todin hang it ligu vty [| } Tiép diém thuimg mé sé déng khi bit = 1 | bit: LQ.M,V,SM,T,CSL Bool OF a 4/ f Tiép diém thudmg dong sé mé khi bit = 1 bit: I.Q.M,V,SM,T,C,S,L Bool bit [7d gidm dasons mo sb done tie thei ly | Tip idm tbe m8 8 Ang AHN Bool bit mn thud B tite 4 y H Tip id tng ng 8 m8 WK) i Bool ( _|Nor|) Dio gid ti ota bit du fién trong ngin | pg 5 xép (déo trang thai cia ddu ra) Khong Khong iu tién trong ngin xép e6 gif ti — P | bing 1 trong khoang thai gian bang thai gian cha mot vong quét khi phat higm yi. 1.Q.M,V,SM,T,CS,L Bool sum Ién cia tin higu dau vio wy | Bit du tien rong agin xe 6 eid ti inal 1 trong khong thdi gian bang thi gion cia mot vong quét khi phét hign | bit: 1QM,V,SM,T,CS,L Bool sum xudng cia tin higu du vio Cun day dau ra 6 trang thai ON Khi c6 | i bit: LQM,V,SM,T.C,S.L Bool di qua | pbie Cudn day dau ra 6 trang thai ON tie thoi + kh bit: LQM,V,SM,T.C,S,L Bool 6 tin higu digu khién di qua bit . | bit: IQ.M,V,SM,T,C,S,L Set mt mang gdm n tiép diém tinh tir) a IB, JS | tgp aiém “bit <= 128) QB,MB,VB,SMB,SB, Bool A TB,AC,*VD,*AC, *LD, Constand, bit . : bit iz | Reset mot ming gdm n tip diém tinh ti) 1,Q.M,V,SM,T,CS,L tigp diém “bit"(n <= 128) n Bool bn 1B,QB.MB,VB,SMB, SB.LB, AC,*VD,*ACALD, DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 2 Nhom Iénh So Sanh $7-200 cung cdp céc lénh so sanh theo timg kiéu dir ligu vi vay mudn thye hign duge phép so sdnh thi cdc todn hang phai cé cing kiéu dir ligu néu khong chuong trinh sé bao 161. Sau day 14 mét sé 1énh so sdnh dit ligu kiéu Byte Lénh [Mota [Troan hang Kigu dir ligu Lénh so sénh gid tj hai byte | 1B,QB, MB, VB,SMB,SB,LB, Byte IN| va IN2.Treng thai tiép | AC,*VD,*AC,*LD,Constand. diém 1a déng khi INI=IN2 Lénh so sinh gid tri hai byte | 1B,QB.MB,VB,SMB,SB,LB, Byte H Bb INI va IN2.Trang thai tiép | AC,*VD,*AC,*LD,Constand. m2 diém 1a déng khi INI<>IN2 Lénh so sénh gid tri hai byte | IB,QB,MB,VB,SMB,SB,LB, | Byte IN| va IN2.Treng thai tiép | AC,*VD,*AC,*LD,Constand. diém 1a déng khi INI>IN2 Lénh so sinh gid tri hai byte | TB,QB.MB,VB,SMB,SBLB, | Byte H B fF INI va IN2.Trang thai tiép | AC*VD,*AC,*LD,Constand. IN diém 1a déng khi INI=>IN2 L&nh so sinh gid tri hai byte | 1B,QB,MB,VB,SMB,SB,LB, | Byte INI va IN2.Trang thai tigp | AC,*VD,*AC,*LD,Constand, diém 1a déng khi IN1< IN2 Lénh so sinh gid tri hai byte | 1B,QB,MB, VB,SMB,SB,LB, Byte “BE INI va IN2.Trang thai tiép | AC*VD,*AC,*LD,Constand. ddiém la déng khi INT< = IN2 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 3 Tap Lénh B6 Tao Thoi Gian Léah TON Txx, Day 1a Iénh dém thai gian kich hoat khi da vao kich Ia ON. Khi gid trj dém tire thoi trong thanh ghi CT >= gif tri dat trade trong thanh ghi PT thi bit trang thai ciia b6 timer Txxx sé ON. Khi tin higu diu vao EN 1a OFF thi bit Tax s€ chuyén trang thai sang OFF va gid tri tthe thoi trong CT sé duge set vé 0. Khi diu vao EN la ON thi gid tri tie thoi trong thanh ghi CT sé tang din dén 32676 tri khi dau EN la OFF. Toan hang Kieu di Txxx : hing sé Word EN : dau vio kich | Bool PT :IW,QW,VW, | Interger MW,SMW,T Constand,LW,SW, AIW,*AC,*VD, *LD,AC BO TONR cing hoat dng tong tw nhume bit thai va thanh ghi CV van git nguyén khi ddu EN la OFF trirkhi cé Iénh reset bd TONR. Txxxeé thé duge si dung nhu mot todn hang kiéu PT: IW, QW,VW, | Interger MW, Int dé Idy gid tri tire théi va todn hang kiéu Bit *VD,*LD,AC Bit Taxx c6 cing trang thai véi du vio EN«tai thai diém nay gid tr trong thanh ghi CT = 0. Tai théi diém khi c6 tin higu suém xudng cia diu vio EN gid tri trong thanh ghi sé tang din dén khi CT = PT thi Txxx xuéng mite thip déng théi CT gitt nguyén gid tri én khi cé tin higu suén lén méi tai ddu vio EN.C6 thé xod gif tri trong CT va Txxx bing lénh Reset. PT: 1W,QW,VW, | Interger MW,SMW,T,C, Constand,LW.SW, AIW,*AC, *VD,*LD,AC DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 4 Tap LanhBa Bam F 3 Kigu Lénh Mo ta Todn hang ‘dt 1igu B@ dém lén CTU dém s6 suén lén cia tin higu | Cxxx : hing sO Word logic du vio CU ‘tic 1a dém sé tin thay 461 1 Boot | Cox trang thai tit 0 lén 1 ca dau vao CU. Sé sun You xung dém duge, duge ghi vio thanh ghi C- | phép dém lle word. N6i dung ciia thanh ghi C-word duge goi | PT: 1W,QW, Tnterger pv 18 gié ti dém tite thai ludn duge so sinh véi | MW.SMW,T.C, gid tri dat tease PV. Khi C-word Constand,LW,SW, 2 PV thi Ci dupe set ent hn a king | AIWSPACHE, thi gid tri C-bit = 0. Khi ddu vio R c6 mie 1 thi | ~~ b6 dém sé duge reset vé 0 cd C-word va C- bit. B6 dém ngimg dém khi C-word dat gid tri cue dai la 32767. Khai bao bd dém tién/lai, dém tign theo subn | Cxxx : hing sd Word Coo | len eta tin higu diu vio CU va dém Ibi theo | CU;R sdiu vio Bool L_tou suén én cia tin higu diu vio CD. Khi gid trj | °° th " phép dém dém tite thai C- word >= PV thi C-bit 06 gid tri [tev logic 1, nguge Ipi C- bit ¢6 gid tri logic 0. BO dém ngimg dém tién khi gid tri C-word dat | PT :TW,QW,VW, | Interger 4 nmime dém Ii sl MW,SMW,TC, 32767 va ngimg dém Iii Khi gié tri C-word dat | yen Sy. cue tidu la - 32767 AIW,"AC,*VD, *LD,AC Khai bao bé dém Iii theo suén lén cia tin higu | Cxxx : hing sO Word cay [du vdo CD. Khi cé sudm Ién cia tin higu ddu [CUR sila vio] Boot] i eid tri dat tras ; cho |_lcp vio LD thi gid tri d3t trude PV duge t8i v80 | Ne adm thanh ghi tite thoi C-word, khi ¢6 surdn Ién cia | PT :1W,QW,VW, | Interger in hi + eid ° am | MW.SMW,T.C, tin higu vio CD thi it tj trong C-word iim | ar W'sW, di 1 don vi dén khi C- word = 0 thi C-bit | AIW,*AC,*VD, *LD,AC duge sét lén 1. Néu C-word # 0 thi C-bit =0. DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 5.T4p Iénh toan hge Tap lénh todn hoc cia S7-200 duge chia lim hai nhém chinh gom cde Iénh toan hoe lam viée véi sé nguyén va cae Iénh lam viée voi sé thy. ] Tod Kieu dir Lgah Mata | Tosn hang tifa ADOT ‘Cong hai 38 nguyén 16 bit | INI, IN2: VW.IW,QW, MW, | INT EN dau vao INI, IN2, két qua | SW, wn Iu vio ving nhé 16 bit tai SMW, T, C, AC, LW, ne ec ag | Con 78 OUT OUT: VW, IW, QW, INT MW, SW, SMW, T, C, LW, AC, Tr hai sé nguyén 16 bit | INI, IN2 :VWIW,QW, MW, | INT dau vao INI, IN2, két qua | SW, uu vio ving nhé 16 bit tai) SMW, T, C, AC, LW, INT yp |_| du ra OUT Law, I—IN2 OUT : VW, IW, QW, INT MW, SW, SMW, T, AC, hai sb nguyén 16 bit | INT, INZVW,IW,QW, MW, [INT iu vao INI, IN2, két qua SW, Iu vao ving nhé 16 bit tai SMW, T, C, AC, LW, IN our |_ dix sour OUT: VW, IW, QW, INT IIN2 MW.SW, MW, T, C, LW, AC, *VD, T Chia hai s8 nguyén 16 bit | INI, IN2 :VW,IW,QW, MW, _| INT iu vao INI, IN2, két qua SW, Iu vio ving nbs 16 bit tai SMW, T, C, AC, LW, out |_ | dia ra OUT OUT: VW, IW, QW, INT MWSW, | SMW, T, C, LW, AC, *VD, INCE) | Gid ti diura OUT bing gid [IN : VB, 1B, QB, MB, BYTE —EN tri déu vao céng 1 méi khi | SBSMB, 6 tin higu vao chinEN | LB, AC, Constant, *VD, —|ny OUT OUT: VB, IB, QB, MB, | BYTE SB, SMB, LB, ____| AG, #VD, *LD, *AC DEC Gid trj diu ra OUT bing gid IN VB, IB, QB, MB, | BYTE IEN tr dau vao tr 1 mdi khi SB, 6 tin higu vio chin EN | SMB, LB, AC, Constant, our OUT VB, IB, QB, MB, | BYTE IN SB, SMB, LB, AC, “VD, #LD, *AC DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 6. Cac lénh dich chuyén dit ligu: : 5 Kigu Lg Mata Toin hang Se En IN: VB, IB, QB, MB, SB, SMB, | BYTE Dich chuyén dé liga 1B, kkiga byte ti ddu vio IN. | AC. Constant,*VD, *LD, *AC wy OUT | én dau ra OUT OUT: VB, IB, QB, MBSB, | BYTE SMB, LB, AC, *VD, *LD, *AC [—Mov-w] IN: WW, IW, QW, MW, SW, | WORD, en kava uaa, | SMW, INT re chuyé ae LW, T, C, AIW, Constant, our Fens MeN a Tour: vw, T, C, TW, QW, | WORD, ey u sw, MW, SMW, LW, AC, INT AQW, *VD, MOV_DW IN: VD, ID, QD, MD, SD, | DWORD, —en . SMD, LD, HC, &VB, &IB, | DINT Dich chuyén dit ligu ki&u | &QB, &MB, fi kép tirddu vao INdén &SB, &T, &C, &SMB, iy OUT daura our &AIW, ‘OUT: VD, ID, QD, MD, SD, | DWORD, SM AG, #VD, ‘AC_| DINT MOVE IN: VD, ID, QD, MD, SD, | REAL en in dit tigukigu | SMPs Dich chuyén di liga Ki&u | Lp, AC, Constant, *VD, *LD, 6 thye tidau vio IN| 222 in OUT | dén dau ra OUT OUT: VD, ID, QD, MD, SD, | REAL SMD, LD, AC, *VD, *LD, *AC 7.Tap lam vige véi bang dit ligu Cac 16 th lam viée véi bang dit ligu goi tat 18 Iénh bang, cé thé nhap dit ligu va. sip rong, trong bing. Bang duge dinh nghia 1a mt mang tir don duge xép lién nhau bat dau tir dja chi thap nhdt tinh tir ddu bang dén dia chi cao nhdt tinh dén cudi bang. Hai tir don dau tign ding dé quan ly bang, dit ligu duge ghi vio bang bit dau tir tir don thit ba trong bang, méi tir don chira mét dit ligu, mGt bang cé thé chita téi da 100 dir ligu khong ké hai tir don dau tién, vay méi bang cé d6 dai téi da 1a 204 byte. Kiéu dit ligu trong bang 14 kiéu INT. Tir dau bang ky higu 1a TL chia kich thude cia bang, tir thir hai ky higu EC ding dé quan ly cac dit DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 Cac lénh bang gém c6: Mata Toan hang kiki Lah ghi thém vio bing mot dir lig |DATA:VW, IW, | INT Kigu tir don c6 ngi dung duge xéc ow, dinh bing to’n bang DATA. Toén | MW, SW, SMW, LW, hang TBL dimg dé xée din bing tue [TBE: VW, IW, | WORD tir dau tién cia bang. Néu bing day Qw, thi EC = TL va bit SMI4= 1 . Da | MW, SW, SMW, LW, "TE_FIND gab thue hig vige tim kit trong) TBL VW, IW, QW, | WORD | en bang bat dau ti chi s6 vi tri INDX, Ww, SMW, LW, PTN chia gi tri cin tim kiém. CMD | T, C, *VB, *LD, *AC ey la ludt tim kiém cé gid tri 1-4 tuong |Gprw ame meee img =, #, ><. Néu dit ligu duge tim eure ENO|— | thdy thi bién INDX sé cf chi vio vi tri chiza di ligu, Dé tim di ligu tiép theo khong tim thy INDX st c6 gid ti | PINVW, IW, INT bang EC Qw, Mw, SW, SMW, INDX: VW, IW, QW, | WORD MW, SW, SMW, LW, T, C, AC, *VD, *LD, FIFT “énh My di liga du tién trong| TBLVW, IW, WORD, ‘bang ra khéi bang chira trong DATA, Qw, EN ENO [| eae dit Tigu duéi duge din len én a8 FBS vy Ty INT lap ché tréng va EC giim di 1 don vi. vw, ow, - Jr paTal | Neu bing ting neha EC = 0 v4! yoy, sy, SMW! ih WORD C pea bang ra Khoi bing chira trong DATA, EN ENO. | ee du, ligu duéi duge don len dé lap [HRC V WE TW. PINT ché tréng vii EC gidm di 1 don vi w, rp, paral] Néu bang tréng nghia A EC=0 val yw gw. sMw. SMI4=1 LW. AG, T, C, AQW, z | +vp. TIC Lénh didn gid t vio bang ti mot tir | IN:VW, IW, QW, | INT don MW, IEN ENO | IN bit dau bing ti don OUT sw, SMW, LW, T, | NvB, IB, QB, MB, | BYTE SB, IN outH OUrVW, Mw, SW, SMW, LW, T.C, AQW, *VD, DO AN DIEU KHIEN TAY MAY GAP SAN PHAM_TDH3_K6 8. Tap lénh phép toan bién dai logic: 1g Mota Toan hang Kew WARD The hign Tinh ADD git | INI, IN2: VB, IB, QB, MB, | BYTE = céc bit cia hai byte dau vao | SB, EN ENO} INI va IN2, két qua chia SMB. LB. AC. Constant. | trong byte dau ra OUT OUT:VB, IB, QB, MB, SB, | BYTE INI SMB, LB, AC, *VD, *AC, *LD IN2 our ORT The hign Teh OR gitte ede | INI, IN2: VB, IB, QB, MB, | BYTE bit cia hai byte dau vao INI | SB, EN = ENO) va IN2, két qui chia trong SMB. LB. AC. Constant. fea byte diu ra OUT OUT:VB, IB, QB, MB, SB, | BYTE IN2 OUT ‘SMB, LB, AC, *VD, *AC, *LD- Pwxorn) |The ign léh XOR gita | INT, IN2: VB, IB, QB, MB, | BYTE cée bit cia hai byte diu vio | SB, eG INI va IN2, két qua chia SMB_LB_AC Constant in trong byte dau ra OUT OUT:VB, IB, QB, MB, SB, BYTE our SMB, LB, AC, *VD, *AC, *LD| [ INVE Lénh dio tri ting bit] INT, IN2: VB, IB, QB, MB, | BYTE. ln a trong byte dau vio IN va két | SB, OF) qua chia trong byte dau ra| SMB, LB, AC, Constant, ty our|_| OUT. Thuong IN vi OUT c6 | *VD, cing dia chi OUT:VB, IB, QB, MB, SB, BYTE SMB, LB, AC, *VD, *AC, *LD 9.Tap Ienh bién adi kigu dit ligu Léah Mota ‘Toan hang mh BCD T Linh chuyén 481 mét s5 nhi_| IN (LAD, FBD): VW, TW, | WORD thép phan IN sang s6 nguyén | QW, EN ENO} vachira két qua vio OUT. | MW, SW, SMW, LW, T, IN:0-9999 OUT: VW, IW, QW, MW, | WORD IN our} SW, MW LW TC AC #vD, T BCD Linh chuyén ddi_m@t_sd/ IN (LAD, FBD): VW, IW, | WORD = nguyén IN sang s6 nbi_thép | QW, |_Ien ENO} phn va chita két qui vao| MW, SW, SMW, LW, T, OUT. OUT: VW, IW, QW, MW, | WORD —x_our} 89% Oy earn can am DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 DLE Lh chuyén d0i m§t sO] IN: VD, ID, QD, MD, SD, | DINT ~ nguyén 32 bit IN sang sb | SMD, EN ENO} —~} the 32 bit, Két qua chita| OUT-VD, ID, QD, MD, | REAL _| trong OUT SD, SMD, LD, AC, *VD, | ROUND | Lénh chayén a3i mot 86 | IN: VD, ID, QD, MD, SD, | REAL the 32 bit IN sang s6| SMD, —EN ENO} nguyén 32 bit, két qua chia OUT: VD, ID, QD, MD, DINT trong OUT SD, SMD, LD, AC, *VD, [—TRUNC—) | Enh chayén d8i mét sé thyc} IN: VD, ID, QD, MD, SD, | REAL 20 ___ [SMD, EN ENO! bit sang s6 nguyén c6 déu 32 OUT: VD, ID, QD, MD, bit SD, SMD, LD, AC, *VD, | Tor Lénh chuyén 48i mét s6 IN: VW, IW, QW, MW, | INT nguyén 16 bit sang sO sw, EN ENO}—J nguyén 32 bit SMW, LW, T, C, AIW, AC, DIT Lénh chuyén déimétsé | OUT: VD, ID, QD, MD, | DINT ia nguyén 32 bit sang sO SD, SMD, LD, AC, *VD, EN ENO}-4 nguyén 16 bit. IN: VW, IW, QW, MW, _ INT aa ak chyba QB gif wi ]IN VB, TB, QB, MB, [BYTE byte EN ENO}_J sang gid tri word 16 bit. OUT Ww, IW, QW, MW, [INT SW, SMW, LW,T, C, AC, TE Lénh chuyén d6i gia tri word] OUT VW, IW, QW, MW, | INT 16 SW, SMW, LW,T,C, AC, ItEN —_ ENO| + bit sang gid wi byte. IN VB, IB, QB, MB, BYTE SB, [ia N OUT, SMB, LB, AC, Constant, 10 Tp Lénh Lam Vige Véi Thai Gian Thye Lénh doc /ghi gid tri thoi gian thye ding dé 1am vige véi thdi gian thuc dya vao dong hé hé thong cia PLC. Cc gid tr dgc hode ghi duge 1a céc gid tri ngay, thang nim, gid, phit, gidy. Cae dit ligu doc/ghi c6 d6 dai 1 byte va m& hod dudi dang sé BCD, ching nim trong b6 dém 8 byte va durge mé té nh sau GVHD: PHAM TH] HONG HANH 41 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 Byte Mota Gia tr} Kidu dit kigu T Year 0=99 BCD TH Month #12 BCD TH Day 131 BCD TH Hour 0=23 BCD TH Minute 0-59 BCD rs Second 0-59 BCD 116 0 0 0 TH Day of week 1-7 BCD L Mota Toan hang Kiéu dit ligul READRTIC] | Lénh doc thoi gian thye | T: VB, IB, QB, MB, | BYTE vio b6 dém & byte tir ddng | SMB, SB, LB, *VD, ~ ho hg thong duge chi dinh | *AC, *LD bing toan hang T EPEC Lénh ghi ni dung cia b6|T: VB, IB, QB,MB, | BYTE dém 8 byte duge chi dinh | SMB, SB, LB, *VD, EN ENO|— | béi ton hang T vio déng | *AC, *LD +. hé thii gian thye 11 Tap lénh diéu khién chong trinh 4 Te Kieu Lgah Mo ta Tofin hang ae a Léah ahdy IMP thye hign vige | n: 0 +63 (CPU2IX)) WORD IMP. chuyén quyén digu khién dén| — 0+ 255 nhin n duge Khai b4o bang] — (CPU22X) . Wenh LBL. Lénh nhiy JMP rar khéng cho phép chuyén quyén I} 4 dius Khién tir chuong trinh EN fhinh vio cde chong trinh con husana_trish nait_wi-nainaa { fone ‘oi chuong tinh con va | N:0t0 63 CPU 22x WORD chuyén quyén diéu khién dén churong trinh con tir chyong trinh Céu tie gah FOR...NEXT ding dé thye hign nhing chire vong lp trong chuong tinh, Toan hang INIT chi INDX,:VW, IW, INT Qw, MW, SW, SMW, GVHD: PHAM TH] HONG HANH 42 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 khéi phat va FINAL chi diém két | INIT VW, IW, | INT thic, INDX luu sé vong ip|QW, MW, SW, INEXT | | tite thai. mdi vong tap duge két| SMW, T, C, AC. thnic béi Iénh NEXT. Céc lénh | LW, AIW, FOR...NEXT 6 thé léng vao | Constant, *VD, nhau nhumg sé Ignh Téng khdng | *LD, duge vuot qué 8. Sau khi ket [EaNALAVWIUW, thi m@t vong lp gid tri cla _ INDX ting lén mgt don vi dén| ew, mw, sw, khi bing v6i gi tri cia FINAL | synw, LW, thi qué trinh lap Két thie. T 6 AC, 12: Lénh quay dich thanh ghi Lénh Mota Tosn hang eu ligu Ta Ténh dich phai (SHR_B)| IN (LAD, FBD):VB, IB, | BYTE ten’ EnoH tay Ignh dich trsi (SHL_B) | QB, tye hign dich chuyén ede} MB, SB, SMB, LB, IN OUTL tit dau vao IN di N lin Q-Vp, ‘iB, QB, MB, SB, BYTE hw sing phi hay sang trai, két| gyop,” 2 qué duge Iwu vio T/ LB, AC, Constant, *VD, L [our “LD. oH BS Lénh shift dién gid tri 0 vio | sac) EN” ENO} vi tri cde bit bi dich chuyén OUT, VB, 1B, QB, MB, BYTE yom, &h bit cubi cimg bi diy ra] sp, SMB, LB, AC, *VD, ai ngoai va dua vio bit bio| 7p, AC fran SML.I, bit béo ka | qua, Bit béo két qua 0 sé ORE Lénh quay phat (ROR_B)| IN (LAD, FBD):VB, IB, | BYTE len’ Eno|— hay enh dich tréi (ROL_B) | QB, thie hign dich chuyén céc|MB, SB, SMB, LB, IN ouTL_ bit diu_ vio IN di N tin S—y4S-Op-e SBT —N sang phai hay sang trai, két| S\N 1B) QB. MB, SB, | BYTE qua duge hu vio diu ra) RAG Constant, *VD, OUT. stp? Constant NP Tai méi Hin quay sit tri| qc! ROLB,] cla bit cudi cimg (bit 0) - EN ENO} duge dia vio bit SMI.) OUT: VB, IB, QB, MB, | BYTE ding thdi dua vio bit dau $B, SMB, LB, AC, *VD, |—{IN OUTS, tin (bit 7) cia byte dé néu a) “LD, *AC —N quay phai va nguge Iai 461 Véi quay tréi, Bit bio két [qua 0 sé bang 1 néu gid tri GVHD: PHAM TH] HONG HANH 43 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 i jcru (cpu k Tin higu ngit bre few 224, 226, me b24xP 226XP (0 | Ngit theo sudm Ién cia 10.0 Y Y Y Y 1 | Ngit theo surdm xudng cia 10.0 Y Y Y Y 2 | Ngit theo surém Ién cba 10.1 ¥ ¥ ¥ ¥ 3__| Ngit theo sum xuéng cia 10.1 Y Y Y Y 4 | Ngit thoo sum lén cua 10.2 Y Y Y Y 5_| Ngit theo surim xudng cia 10.2 Y Y Y Y 6 _| Ngit theo sum Ién cua 10.3 Y Y Y Y T___| Ngit theo suim xudng eta 10.3 Y Y Y Y &_| Nglit dé nbn ky ty 6 Port 0 Y Y Y Y 9 | Nedt dé bdo vige truyén dir ligu da hoin | Y Y Y Y tat clia Port 0 1 Ngit thdi gian 0, SMB34 Y Y Y Y 1 | Ngit thai gian 1, SMB35 Y Y Y Y 1__| Nglt theo HSCO, khi gid tr ti thi bing | Y Y Y Y 1 Nefit theo HSC1, khi gid tri tire thoi bing Y Y 1 | Nei theo HSC1, khi cé tin higu bio 461 ¥ ¥ T___| Ngit theo HSCI, khi c6 tin higu Reset ti Y Y 1 Ngit theo HSC2, khi gié tri tie thoi bing Y Y 1 | Ngit theo HSC2, khi c6 tin higu bio di Y Y T__| Ngiit theo HSC2, khi c6 tin higu Reset tir Y Y T__| PLSO: git bao hoan tit vige dém xung Y Y Y Y 2 | PLSI: Ngit bao hoan tat vige dém xung Y Y Y Y 2___| Ngit theo b6 dinh thei T32 khi gid tridém | Y Y Y 2 | Ngft theo b9 inh thei 796 khi gid tri dm | Y Y Y 2 Ngit bao hoan tat vige nhin mot goitiné | Y ¥ ¥ ¥ 2] Ngit bio hoan tit vige nhgn mot g6i tin & Y Y 2 | Ngdt dé nhdin ky ty 6 Port 1 ¥ Y 2__| Ngit d& béo vige trayén dit figu da hoan tt Y Y 2__| Ngit theo HSCO, khi cé tin higu bio 461 Y Y Y Y 2__[ Ngit theo HSCO, khi c6 tin higu Reset fir Y Y Y Y 2 | Ngit theo HSC4, khi gid tr tire thoi bang | Y Y ¥ Y 3 | Ngft theo HSC4, khi cé tin higu bio dai Y Y Y Y 3 | Nel theo HSC4, Khicé tin higu Reset ti Y Y Y Y 3__| Ngit theo HSC3, khi pid tr tite thoi bing | Y Y Y Y 3__| Ngit theo HSCS, khi gid t tite thoibing | Y ¥ ¥ ¥ Y Y Y Y 13 Tap lgnh sir ly ngat Cac ché d6 ngit va xtr ly ngit cho phép thye hign cde qué trinh téc 43 cao, phan img kip théi véi cac sw kién dign ra bén trong va bén ngoai. Nguyén tic co ban ciia mot ché d6 xir ly ngit cing gidng viée thye goi mot chuong trinh con, chi khac 14 chuong trinh con duge goi chi dgng con chuong trinh ngit duge goi m6t mt cach bi déng bing tin higu bao ngit. GVHD: PHAM TH] HONG HANH 44 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 Khi cé m6t tin higu béo ngit chuong trinh sé t6 chite goi va thye hign chuong trinh xir ly ngit hay hé théng t6 chire xir ly tin higu ngat dé. Do thyc hign chwong trinh xir ly ngdt mét cdch bi d6ng tir tin higu bao ngat hg théng phai hé trg thém cho céng vige nhu: cdt gitt noi dung ngan xép, ndi dung thanh ghi AC va va céc bit nhé dac biét, 6 chite xép hang wu tién cho cdc tin higu trong truong hgp ching chwa kip xtr ly. ‘Thit ty wu tién cia cic kiéu ngit Thit ty cia cdc kigu ngit di duge cig hod tir truée theo thir ty tin higu nao ¢6 trude thi xir ly tude. Néu cing mét lite cé nhiéu tin higu bao ngit thi chuong trinh sé 18 chite xép hang dgi theo thér ty wu tién sau: vao ra (ké cd HSC va ngit truyén xung PLS) Ngit théi gian Tai mdi thai diém chi co mét chong trinh xu ly ngdt duge thye hién, khi mét chuong trinh xt ly ngat dang thyc hign ma cé mét tin higu béo ngat khdc thi chuong trinh van tiép tue xir ly chong trinh xir ly ngit 46 dén Khi két thic méi chuyén sang xit ly tin higu ngat dé. Bang hang dgi lon nhdt ma méi CPU c6 thé c6: Nhém wu tign 212| 214] 215] 216] 221 | 222| 224] 226 Ngat truyén thong 4 4 |4 |s |4 |4 4 [8 Ngit vio ra 4 [16 |16 |16 |16 [16 16 | 16 Ngit thai gian 2 4 /[s [s [s |g 8 [8 Rigng doi vai tin higu bao ngit truyén thong mfc du chur dusge xir ly nhung ky ty nhan duge va bit kiem tra chan le van duge ghi nhé lai trong bo dém kem theo dung thir ty cua tin higu bao ngat Bitstart_]7 (8) bit cia ky or Parity Bit stop * Khai bdo ch® di ngiit: Kich tin higu bao ngit cho ché d6 ngit tuong img (_khai bao bing Iénh ATCH). ‘Soan thio ndi dung cia chuong trinh ngit trong khéi INT_x. gop nhiéu tin higu béo ngat vio cing mét chong tink (chinh hi huy tin bigu bao ngat bang tin higu DISI thi cée ngat van tiép vao hang dgi dén khi cé tin higu kich ngét ENL GVHD: PHAM TH] HONG HANH 45 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 * ChOl@Ing trinh x® IY nglit: Ciing nhu chong trinh con méi chuong trinh xit ly ng’ bao bing Iénh INT. Tat ca cdc lénh nam gitta nha at di 6 mét nhan rigng duge danh dau tai diém dau cia chuong trinh. Nhn nay duge khai va lénh quay vé khong digu kén RETI déu thuge ngi dung cia chuong trinh xir ly ngit. Cé thé két thiic chuong trinh xir ly ngit sém hon bing Iénh CRETI nhung Iénh RETI van la Iénh két thic chong trinh xir ly ngit tuy mhién Iénh ndy khong n khai bao. Chuong trinh xir ly ngit cin phai duge viét mot cach t6i wu, Lénh két thie chuong trink ngat RE 1 Léah Mo Toan hang | _Kiéudirligu Enh khai bao ché d6 git INT:0-127 | BYTE INT: khéi ngit hay chong trinh | EVENT xl cPU2Ix: 0 ngit , 26 EVENT: kiéu ngit, CPU22x: 0-33 Lénh huy ngat cue b6 tuong img | EVENT: BYTE voi CPU2Ix: 0- kiéu ngit EVENT 26 CPU22x: 0-33 | GevENT Léah kich ngit toin cue hay thye | Khong Khong I ENI hign cée chuong trink ngit ‘Huy tat cd cdc ngit cing mét lic | Khong Khong | DISI Lah thodt tie thoi Khoi eh a} | Khong Khong IIcreT! ngit khi chuong trinh ngét cha ket thie. Khong Khong GVHD: PHAM TH] HONG HANH 46 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 CHUONG III. UNG DUNG PLC $7-200 CPU224 VIET LADDER CHO TAY MAY GAP SAN PHAM 3.1 Bang dia chi /O cho co cau Ky higu | Dja chi Chi thich so ‘Nit nhan mé my, thudng ho (NO) SI 10.1 Nat nhin t&t may, throng déng (NC) S82 10.2 Niit dimg khan, thuémg dong (NC) $3 (10.3 Cam bién Ta phat hign tay may 6 trén S4 104 ‘Cam bign Th phat hin tay may 6 duéi SS 105 Cam bign Te phat hign tay may 6 bén trai S6 10.6 ‘Cim bién Td phat hign tay may & bén phai 87 10.7 Cam bign PDA phat hign cé sin phim KO 0.0 Ro le diéu khién khdi dong hé thong KI Q0.1 Ro le trung gian diéu khign di xudng K2 (Q02 Ro le trung gian diéu khién gap /nha K3 20.3 Ro le trung gian diéu khién di len Ka Q0.4 Ro Te trung gian diéu khign sang phai KS 0.5 Ro le trung gian diéu khign sang trai K6 20.6 Cong the to diéu khign dong co kéo bang tai HI 'Q1.0 Dén bdo dong co hoat dong H2 Qu Dén bao dong co dimg GVHD: PHAM THI HONG HANH 47 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 3.2. Chuong trinh LAD cia mé hinh Network 1 Network Title [Bhai dong/sing he thong Moo | Network 2 Moo 107 103 105 wor. Netwark 4 [ ] @ waa s) 1 wos GVHD: PHAM TH] HONG HANH 48 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 Network 5 [ ] woa co aa ee s) 1 wos waa, R 1 Netwak 6 [ ] wos 10s was HK Fy7+s) wos wa R 1 Network 7 [ wos loa woe 1 1 Hy) 2 To soder__100m Network 8 f j 1 Nas, ro D wos os 1 R) GVHD: PHAM TH] HONG HANH 49 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 Network 8 ( was 103 az s) 1 waz mas a) 1 Network 10 [ wa 105 ma woo, 17k s) 1 woo maz a) 1 Network 11 ([Rhot dong woo 200. K—< ) Network 12 fern won a1 4 > Nos 1 Network 13 (oap/nhe woz 02, fd F— ) GVHD: PHAM TH] HONG HANH 50 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 Network 14 ([diten Mos a03 -4 > Mos = Network 15, [const Mos aoa Network 16 (Genoa Mor 08, Network 19, [dents ding avo 1 ee GVHD: PHAM TH] HONG HANH 51 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 Netra 20 ([Gingioan ie 7 ima 4 *-—) ma tH Weta [ Hr) oe (tray 1 Feit ) 1 GVHD: PHAM TH] HONG HANH 52 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 3.3 So dé dau day mé hinh GVHD: PHAM THI HONG HANH 53 DO AN DIEU KHIEN TAY MAY GAP SAN PHAM _TDH3_K6 CHUONG IV KET LUAN Qua théi gian thye hign dé dn véi dé tai “Diéu khién tay may gap san phim”. 1a co hdi dé ching em cing 06 kién thite 44 hoc trén nha truéng déng théi phat huy tinh sang tao va kha nang giai quyét céng viéc theo yéu cau dat ra, tiép xe va lim quen véi than tyu khoa hgc ky thudt eda chuyén nghanh ty dng héa néi riéng va cua nén cong nghigp hign dai néi chung Day 1a mét dé tai khong mdi, da cé nhiéu thé hé di trudc tim hiéu va phat trign, vi vay chang em cé nhiéu thuan Igi trong vige tim kiém tai ligu tham khdo, nhung vi théi gian cé han va kién thite cén han ché nén trong dé an sé con nhiéu sot, rit mong duge sy chi bao va gép y cita thay c6 va cdc ban. M6t lan nira chang em xin chan thanh cam on cé: Th.s Pham Thi Hong Hanh da gidp ching em hoan thanh dé an nay | Ha ndi ngay 06 thang 06 nam 2014 GVHD: PHAM TH] HONG HANH 54

Vous aimerez peut-être aussi