Vous êtes sur la page 1sur 18

Design Example: Level-to-Pulse

• A level-to-pulse converter produces a single-


cycle pulse each time its input goes high.
• It’s a synchronous rising-edge detector.
• Sample uses:
– Buttons and switches pressed by humans for
arbitrary periods of time
– Single-cycle enable signals for counters

Level to
L Pulse P
Converter
...output P produces a
Whenever input L goes
single pulse, one clock
from low to high...
CLK period wide.

6.111 Fall 2007 Lecture 7, Slide 1


Step 1: State Transition Diagram
• Block diagram of desired system:
Synchronizer Edge Detector

unsynchronized Level to
user input
D Q D Q L Pulse P
FSM
CLK

• State transition diagram is a useful FSM representation and


design aid:
“if L=1 at the clock edge,
then jump to state 01.”
L=1 L=1 Binary values of states

00 01 11
L=0 Low input, High input,
Waiting for rise
Edge Detected!
Waiting for fall
L=1
P=0 P=1 P=0
L=0
L=0
“if L=0 at the clock edge, This is the output that results from
then stay in state 00.” this state. (Moore or Mealy?)

6.111 Fall 2007 Lecture 7, Slide 2


Step 2: Logic Derivation
Transition diagram is readily converted to a Curren Next
In Out
t State State
state transition table (just a truth table)
S1 S0 L S1+ S0+ P
L=1 L=1
0 0 0 0 0 0
L=0 L=1 0 0 1 0 1 0
00 01 11
Low input, High input,
Waiting for rise
Edge Detected!
Waiting for fall
0 1 0 0 0 1
P=1
P=0 P=0 0 1 1 1 1 1
L=0 L=0
1 1 0 0 0 0
1 1 1 1 1 0
• Combinational logic may be derived using Karnaugh maps
+
S1S0 for S1 :
L 00 01 11 10
0 0 0 0 X
1 0 1 1 X L S+ P
Comb. D Flip- Q Comb.
n S1
for P:
+: Logic Flops Logic
S1S0 for S0 CLK S0 0 1
L 00 01 11 10 n
0 0 X
0 0 0 0 X S 1 1 0
S1+ = LS0 P = S1S0
1 1 1 1 X
S0 + = L

6.111 Fall 2007 Lecture 7, Slide 3


Moore Level-to-Pulse Converter
next
state
S+
inputs outputs
Comb. D Flip- Q Comb.
x0...xn Logic
n
Flops Logic yk = fk(S)
CLK
n

present state S
S1 = LS0
+
P = S1S0
S0 + = L

Moore FSM circuit implementation of level-to-pulse converter:


S0 + S0
L D Q
P
CLK Q

D Q
S1 + S1
Q

6.111 Fall 2007 Lecture 7, Slide 4


Design of a Mealy Level-to-Pulse
direct combinational path!

S+ Comb.
Comb. D Flip- Q
n Logic
Logic Flops
CLK
n
S
• Since outputs are determined by state and inputs, Mealy FSMs may
need fewer states than Moore FSM implementations

1. When L=1 and S=0, this output is


asserted immediately and until the
state transition occurs (or L changes). L 1
2
P
L=1 | P=1 Clock
L=0 | P=0 0 1 State
Input is low Input is high
Output transitions immediately.
L=0 | P=0
State transitions at the clock edge.
L=1 | P=0
2. While in state S=1 and as long as L
remains at 1, this output is asserted.

6.111 Fall 2007 Lecture 7, Slide 5


Mealy Level-to-Pulse Converter
Pres. Next
In Out
L=1 | P=1 State State
0 1 S L S+ P
Input is low Input is high
0 0 0 0
L=0 | P=0 0 1 1 1
L=0 | P=0 L=1 | P=0
1 0 0 0
1 1 1 0

Mealy FSM circuit implementation of level-to-pulse converter:

P
S+ S
L D Q

CLK Q
S

• FSM’s state simply remembers the previous value of L


• Circuit benefits from the Mealy FSM’s implicit single-
cycle assertion of outputs during state transitions

6.111 Fall 2007 Lecture 7, Slide 6


Moore/Mealy Trade-Offs
• How are they different?
– Moore: outputs = f( state ) only
– Mealy outputs = f( state and input )
– Mealy outputs generally occur one cycle earlier than a Moore:

Moore: delayed assertion of P Mealy: immediate assertion of P

L L

P P

Clock Clock

State[0] State

• Compared to a Moore FSM, a Mealy FSM might...


– Be more difficult to conceptualize and design
– Have fewer states

6.111 Fall 2007 Lecture 7, Slide 7


Light Switch Revisited

1
D Q LIGHT

BUTTON D Q

CLK

Level-to-Pulse Light Switch


FSM FSM

6.111 Fall 2007 Lecture 7, Slide 8


FSM Example
GOAL:
Build an electronic combination lock with a reset
button, two number buttons (0 and 1), and an
unlock output. The combination should be 01011.
RESET
“0” UNLOCK
“1”

STEPS:
1.Design lock FSM (block diagram, state transitions)
2.Write Verilog module(s) for FSM

6.111 Fall 2007 Lecture 7, Slide 9


Step 1A: Block Diagram

lock
Clock
fsm_clock fsm
generator

unlock Unlock
reset button LED
Button
reset
Enter

Button b0_in button


b0 LED
0
DISPLAY
state
Button b1_in
button b1
1

6.111 Fall 2007 Lecture 7, Slide 10


Step 1B: State transition diagram

RESET
1
1 0

RESET 0 “0” 1 “01”


Unlock = 0 Unlock = 0 Unlock = 0

0
1 0
0
“01011” 1 “0101” 1 “010”
Unlock = 1 Unlock = 0 Unlock = 0

6 states → 3 bits
6.111 Fall 2007 Lecture 7, Slide 11
Step 2: Write Verilog
module lock(clk,reset_in,b0_in,b1_in,out);

input clk,reset,b0_in,b1_in;
output out;

// synchronize push buttons, convert to pulses

// implement state transition diagram


reg [2:0] state,next_state;
always @ (*) begin
// combinational logic!
next_state = ???;
end
always @ (posedge clk) state <= next_state;

// generate output
assign out = ???;

// debugging?
endmodule
6.111 Fall 2007 Lecture 7, Slide 12
Step 2A: Synchronize buttons
// button -- push button synchronizer and level-to-pulse converter
// OUT goes high for one cycle of CLK whenever IN makes a
// low-to-high transition.

module button(clk,in,out); out


input clk;
r1 r2 r3
input in; in D Q D Q D Q
output out;
clk
reg r1,r2,r3;
always @ (posedge clk) synchronizer state
begin
r1 <= in; // first reg in synchronizer
r2 <= r1; // second reg in synchronizer, output is in sync!
r3 <= r2; // remembers previous state of button
end

// rising edge = old value is 0, new value is 1


assign out = ~r3 & r2;
endmodule
6.111 Fall 2007 Lecture 7, Slide 13
Step 2B: state transition diagram
parameter S_RESET = 0; // state assignments
parameter S_0 = 1; RESET
1
parameter S_01 = 2; 1 0

0 1
parameter S_010 = 3; RESET
Unlock = 0
“0”
Unlock = 0
“01”
Unlock = 0

parameter S_0101 = 4;
0
parameter S_01011 = 5; 1 0
0
“01011 ” 1 “0101 ” 1 “010”
reg [2:0] state, next_state; Unlock = 1
Unlock = 0 Unlock = 0

always @ (*) begin 0

// implement state transition diagram


if (reset) next_state = S_RESET;
else case (state)
S_RESET: next_state = b0 ? S_0 : b1 ? S_RESET : state;
S_0: next_state = b0 ? S_0 : b1 ? S_01 : state;
S_01: next_state = b0 ? S_010 : b1 ? S_RESET : state;
S_010: next_state = b0 ? S_0 : b1 ? S_0101 : state;
S_0101: next_state = b0 ? S_010 : b1 ? S_01011 : state;
S_01011: next_state = b0 ? S_0 : b1 ? S_RESET : state;
default: next_state = S_RESET; // handle unused states
endcase
end

always @ (posedge clk) state <= next_state;


6.111 Fall 2007 Lecture 7, Slide 14
Step 2C: generate output

// it’s a Moore machine! Output only depends on current state

assign out = (state == S_01011);

Step 2D: debugging?

// hmmm. What would be useful to know? Current state?

assign hex_display = {1'b0,state[2:0]};

6.111 Fall 2007 Lecture 7, Slide 15


Step 2: final Verilog implementation
module lock(clk,reset_in,b0_in,b1_in,out, hex_display);

input clk,reset,b0_in,b1_in;
output out; output[3:0] hex_display;

wire reset, b0, b1; // synchronize push buttons, convert to pulses


button b_reset(clk,reset_in,reset);
button b_0(clk,b0_in,b0);
button b_1(clk,b1_in,b1);

parameter S_RESET = 0; parameter S_0 = 1; // state assignments


parameter S_01 = 2; parameter S_010 = 3;
parameter S_0101 = 4; parameter S_01011 = 5;

reg [2:0] state,next_state;


always @ (*) begin // implement state transition diagram
if (reset) next_state = S_RESET;
else case (state)
S_RESET: next_state = b0 ? S_0 : b1 ? S_RESET : state;
S_0: next_state = b0 ? S_0 : b1 ? S_01 : state;
S_01: next_state = b0 ? S_010 : b1 ? S_RESET : state;
S_010: next_state = b0 ? S_0 : b1 ? S_0101 : state;
S_0101: next_state = b0 ? S_010 : b1 ? S_01011 : state;
S_01011: next_state = b0 ? S_0 : b1 ? S_RESET : state;
default: next_state = S_RESET; // handle unused states
endcase
end
always @ (posedge clk) state <= next_state;
assign out = (state == S_01011); // assign output: Moore machine
assign hex_display = {1'b0,state}; // debugging
endmodule
6.111 Fall 2007 Lecture 7, Slide 16
Where should CLK come from?
• Option 1: external crystal
– Stable, known frequency, typically 50% duty cycle
• Option 2: internal signals
– Option 2A: output of combinational logic

• No! If inputs to logic change, output may make several


transitions before settling to final value → several rising
edges, not just one! Hard to design away output glitches…
– Option 2B: output of a register
• Okay, but timing of CLK2 won’t line up with CLK1

D Q CLK2

CLK1 CLK1
6.111 Fall 2007 Lecture 7, Slide 17
Summary
• Modern digital system design:
– Hardware description language FPGA / ASIC
• Toolchain: Simulate
– Design Entry Synthesis Implementation
• New Labkit: Design Entry
(Verilog)
– Black-box peripherals

(modelsim)
Simulation
*.v
Synthesis
– Almost all functionality (xst)

is programmed in! rtl


Implementation
– How to generate video? (map, place, route)

Synchronize systems? *.bit

Create/Digitize Audio? Programming


(parallel cable)
Serial & communications?
6.111 Fall 2007 Lecture 7, Slide 18

Vous aimerez peut-être aussi