Vous êtes sur la page 1sur 13

Alberto Cuervo

CIRCUITOS SECUENCIALES SINCRNICOS 1 parte

Teora General del Circuito Secuencial Sincrnico La diferencia fundamental entre un circuito combinatorio y un circuito secuencial consiste en que en el primero las seales de salida en un momento dado del tiempo dependen solamente de los valores que tengan las seales de entrada al circuito combinacional en ese mismo momento del tiempo. Por el contrario, en un circuito secuencial los valores que presentan las salidas del circuito en un momento dado del tiempo dependen no solamente de los valores de las entradas en ese momento, sino tambin de los valores que han tenido dichas entradas en instantes anteriores en el tiempo. Debido a lo anterior, adicionalmente a la lgica combinatoria deben haber elementos de memoria que recuerden los valores pasados de las seales de entrada al mismo. En la figura 1 se muestra el diagrama funcional general de un circuito secuencial sincrnico.

Circuito Secuencial entradas X1 X2 Xn


LGICA COMBINACIONAL

salidas Y1 Ym

A B C
Elementos de Memoria (flip-flops)

JA KA JB KB JC
KC

pulsos de reloj

Figura 1. Diagrama general de un circuito secuencial sincrnico

Alberto Cuervo

En esta figura se ha representado un circuito secuencial sincrnico con n entradas, m salidas y tres elementos de memoria o flip-flops: A, B y C. Los pulsos de reloj se aplican simultneamente a todas las entradas de reloj de los flip-flops que tenga el circuito de forma tal que estos cambiarn de estado en sincronismo con dichos pulsos, esto es, cuando ocurran los flancos de los pulsos de reloj a los cuales son sensibles los flip-flops. A, B y C no son ms que las salidas de los flip-flops, y JA, KA.Kc las entradas de excitacin de los mismos si disponemos de flip-flops JK. El estado peresente en el circuito secuencial no es ms que el conjunto de estados individuales de cada uno de los flip-flops. Esto es, el estado 101 del circuito secuencial es aquel estado en que el flip-flop A se encuentra en el estado 1, el flip-flop B en 0 y el flip-flop C en el estado 1. De acuerdo con lo anterior, el nmero de estados que puede tener un circuito secuencial es igual a 2r, donde r es el nmero de flip-flops que posee dicho circuito. En el esquema de la figura 1, el circuito tendra 8 estados posibles. Como las salidas de una lgica combinacional dependen de las entradas a la misma, analizando la figura 1 se puede plantear que en un circuito secuencial se cumple que las salidas del mismo son funcines de A,B,C,X1,X2,Xn esto es: salidas = f1(estado presente, entradas) La relacin anterior plantea que el valor en que se encuentra una salida cualquiera de un circuito secuencial en un momento dado depende no solamente de los valores que tengan las seales de entrada en ese momento, sino tambin del estado presente en el circuito secuencial. Se debe observar que el estado presente en un circuito secuencial depende de cmo lleg el circuito a ese estado, es decir de valores anteriores en las seales de entrada al mismo. Similarmente se puede plantear una relacin similar para las funciones de excitacin de los flipflops. funciones de excitacin = f(estado presente, entradas)

Alberto Cuervo 3 Como los valores que tengan las funciones de excitacin de los flip-flops en el momento de ocurrir un pulso de reloj cualquiera determinan el estado siguiente de cada uno de los flip-flops, es decir, el estado despus de la ocurrencia del pulso de reloj, se puede plantear una dependencia similar para el estado siguiente del circuito.

estado siguiente = f2(estado presente, entradas) En la figura 2 se ilustra lo anteriormente expuesto. El estado presente es el estado que tiene el circuito antes y en el momento de ocurrir un pulso de reloj n y el estado siguiente es el estado despus de ocurrir dicho pulso. En esta figura se ha supuesto que los flip-flops son sensibles a los flancos negativos de los pulsos de reloj.
estado presente pulsos de reloj n-1 n n+1 estado suguiente

tiempo

Figura 2. Duracin de un es tado en el circuito s ecuencial

De lo anterior se desprende que el tiempo mnimo que dura un estado en un circuito secuencial es igual a un perodo de los pulsos de reloj. Se plantea que es un tiempo mnimo pus eventualmente el circuito puede permanecer en el mismo estado por varios perodos hasta que sea obligado a cambiar de estado al cambiar el valor de alguna seal de entrada. En la figura 1 se represent el circuito secuencial con flip-flops JK. Podran haberse utilizado tambin flip-flops SR o tipo D. Anlisis de circuitos secuenciales sincrnicos En la figura 3 se muestra un ejemplo de circuito secuencial que consta de 2 flip-flops SR. Como hay 2 flip-flops el circuito tendr 4 estados. Segn se muestra en el circuito, ste tiene una entrada x y una entrada y.

Alberto Cuervo 4 El circuito quedar completamente determinado si se conoce el valor que tiene la salida del circuito en cada uno de sus estados para todos los valores de las entradas, en este caso una sola x, as como el estado al cual va el circuito cuando se aplique un pulso de reloj. Por tanto, producto del anlisis se obtendr una tabla de estados, un diagrama de estados o bien las ecuaciones de estado del circuito.
TABLA DE ESTADOS ANLISIS DIAGRAMA DE ESTADOS ECUACIONES DE ESTADO

A B 1 2 3 4

A A B

Q S
A

Q R Q S

Q R

reloj figura 3: circuito secuencial con flip-flops SR

Estado presente AB 00 01 10 11

Estado siguiente x=0 x=1 AB AB 00 01 11 01 10 00 10 11

Salida x=0 y 0 0 0 0 x=1 y 0 0 1 0

Tabla de estados del circuito de la figura 3

Alberto Cuervo 5 Tabla de estados. La tabla de estados para el circuito de la figura 3 se muestra anteriormente. Consiste en 3 secciones nombradas estado presente, estado siguiente y salida. El estado presente designa los estados de los flip-flops antes de la ocurrencia de un pulso de reloj. El estado siguiente muestra los estados de los flip-flops despus de la ocurrencia de dicho pulso de reloj y la seccin de salida lista los valores de las seales de salida durante el estado presente. Las secciones del estado siguiente y salida tienen 2 columnas: una para x=0 y otra para x=1. La deduccin de la tabla de estados comienza a partir de un estado inicial supuesto. El estado inicial en la mayoria de los circuitos secuenciales se define como el estado con ceros en todos los flip-flops Algunos circuitos tienen un estado inicial diferente y algunos no tienen ninguno. En cualquier caso el anlisis puede comenzar a partir de cualquier estado arbitrario. Del circuito podemos plantear las siguientes funciones de excitacin de los flip-flops: SA = Bx RA = Bx SB = Ax RB = Ax Igualmente la funcin de la salida y ser: y = ABx Estado presente 00 Si AB = 00, entonces las funciones de excitacin sern:
x=0 flip-flop A SA = 0 RA = x SB = x RB = 0 0 0 0 0 x=1 0 1 1 0

flip-flop B

Si x=0 las entradas de excitacin de ambos flip-flops son 00, por tanto los flip-flops continan en el estado que tenan al ocurrir un pulso de reloj. Es decir, el estado siguiente es 00. Si x=1, entonces al flip-flop A se le coloca un 0 porque RA =0. Como el estado presente es 0, entonces el estado siguiente tambin es 0. Como SB = 1, el estado siguiente de B ser 1.

Alberto Cuervo Resumen, si x=1, el estado siguiente del circuito ser el estado 01. Esta informacin se lista en la primera fila de la tabla.

De manera similar se pueden deducir los estados siguientes comenzando de los otros tres estados presentes posibles. Observacin: Se debe recordar que en un flip-flop SR no es permitido SR=11, de lo contrario el circuito funcionara de forma incorrecta. El valor de la salida y se puede obtener de la expresin y = ABx, por tanto y=1 solamente en el estado presente 10 (A=1 y B=0) cuando x=1. Diagrama de estados La informacin disponible en la tabla de estados puede representarse grficamente en un diagrama de estados como se muestra en la figura 4.

0/0 1/0 1/0 01 0/0 0/0 11 1/0


figura 4: Diagrama de estados

00 1/1 10 0/0

En este diagrama se representa un estado por un un crculo y la transiciones entre estados por lneas dirigidas que conectan los crculos. El nmero binario dentro de cada crculo identifica el estado representado por el crculo. Las lneas dirigidas se marcan con dos nmeros binarios separados por /. El valor de entrada que causa la transicin aparece a la izquierda; el nmero a la derecha del / da el valor de la salida durante el estado presente. Por ejemplo, la lnea dirigida del estado 00 al 01 marcada con 1/0 significa que el

Alberto Cuervo 7 circuito secuencial produce una salida y=0 si se encuentra presente en el estado 00 y la entrada x =1, y cuando ocurra un pulso de reloj dicho circuito pasa del estado 00 al 01 si x=1. No hay diferencia entre una tabla de estados y un diagrama de estados excepto en la forma en que se muestra la informacin. El diagrama de estados da una vista pictrica de las transiciones dentre los estados que ocurren en el circuito. El diagrama de estados se usa frecuentemente como punto de partida en el diseo de un circuito secuenial cuyas especificaciones son descritas en palabras. Ecuaciones de estado Un circuito secuencial queda completamente determinado si en cada estado presente en que se encuentre se conoce.

1. Los valores de las seales de salida del circuito y 2. El estado siguiente al cual pasa el circuito cuando ocurra un pulso de reloj.

Como el estado del circuito secuencial es el conjunto de estados de los flip-flops individuales, para conocer el estado siguiente del circuito se debe conocer el estado siguiente de cada uno de los flip-flops. Una ecuacin de estado es una expresin algebraica que proporciona el estado siguiente de un flip-flop particular en funcin del estado presente en un circuito secuencial y de los valores que tengan las seales de entrada al mismo. El lado izquierdo de la ecuacin denota el estado siguiente y el lado derecho es una funcin de Boole que evaluada produce el valor del estado siguiente del flip-flop. Por ejemplo, la ecuacin de estado A(t+1) = xAB + xAB es la ecuacin de estado del flipflop A de un circuito secuencial con dos flip-flops A y B y una sola seal de entrada: x. La ecuacin anterior nos dice que el estado siguiente del flip-flop A, A(t+1), es 1 si: 1. el estado presente en el circuito es el estado 01 y x=0, 2. el estado presente en el circuito es el estado 00 y x=1. En cualquier otra condicin, el estado siguiente del flip-flop A ser el estado 0.

Alberto Cuervo 8 De la discusin anterior se desprende que para conocer el estado siguiente de un circuito secuencial harn falta r ecuaciones de estado, donde r es el nmero de flip-flops de dicho circuito. Las ecuaciones de estado que proporcionan el estado siguiente del circuito de la figura 3 se pueden obtener conociendo la ecuacin caracterstica de un flip-flop SR. Q(t+1) = S + RQ , donde Q es la salida del flip-flop SR. Entonces, de las funciones obtenidas de las entradas de excitacin de cada flip-flop se obtiene: A(t+1) = Bx + (Bx)A B(t+1) = Ax + (Ax)B Las dos expresiones anteriores constituyen las ecuaciones de estado del circuito de la figura 3 que proporcionan el estado siguiente del circuito. Observe que habr tantas ecuaciones como flip-flops tenga el circuito secuencial. Por ltimo el valor de la salida se obtiene de la siguiente expresin y = ABx Diseo de circuitos secuenciales. El diseo de un circuito secuencial constituye el aspecto contrario del anlisis: dado un problema descrito en palabras, encontrar el circuito que cumpla con las especificaciones del problema. Existen varios mtodos de diseo de circuitos secuenciales: 1.- Utilizando un nmero mnimo de flip-flops 2.- El mtodo de utilizar 1 flip-flop por cada estado que tenga el circuito secuencial y 3.- El mtodo del registro de secuencia y decodificador. Ver el problema resuelto circuitos secuenciales . (documentosejemplos resueltoscircuitos secuenciales) En este ejemplo se ilustra el procedimiento de diseo de circuitos secuenciales utilizando un nmero mnimo de flip-flops al disear una unidad de control de una mquina de dulces.

Alberto Cuervo 9 El mtodo de 1 flip-flop/ estado como su nombre indica consiste en utilizar un flip-flop por cada estado que tenga el circuito secuencial para facilitar la labor de diseo y ahorrar un tiempo considerable en esta labor. Ver el problema resuelto Sistema Digital de Seguridad (documentosproyectosSist Digital de Seguridad) En este problema se disea un sistema digital para abrir una puerta por el mtodo de 1 flipflop/estado. Como se puede observar del estudio de los 2 ejemplos anteriores, el paso de mayor complicacin en el proceso de diseo lo constituye la obtencin del diagrama de estados a partir de la descripcin en palabras de un problema determinado. Existen diversas herramientas que ayudan a la obtencin del diagrama de estados como es el Diagrama de Mquina de Estado Algortmico que no es ms que un flujograma que representa un algoritmo o secuencia de pasos bien definida que produce una secuencia deseada de acciones en respuesta a una secuencia dada de entradas. Ver el ejemplo Lgica de control (documentosejemplos resueltosLgica de Control) en el cual se ejemplifica el diseo de un sistema digital completo: Unidad de Control y Procesador de Datos) utilizando un flujograma como paso inicial en el diseo. Tambin se utiliza el mtodo de 1 flip-flop / estado. Conclusin: El diagrama ASM (diagrama de mquina de estado algortmico) es una herramienta conveniente para expresar los algoritmos que sern realizados por circuitos lgicos secuenciales, as como podemos utilizar un diagrama de flujo para describir un algoritmo de software que ser programado en un computador.

Alberto Cuervo

10

Alberto Cuervo

11

Alberto Cuervo

12

Alberto Cuervo

13

Vous aimerez peut-être aussi