Vous êtes sur la page 1sur 6

UNIVERSIDAD NACIONAL DE COLOMBIA

INGENIERÍA ELECTRÓNICA
ELECTRÓNICA DIGITAL I
GUÍA DE LABORATORIO N°2

CIRCUITOS DE APLICACIÓN CON DISPOSITIVOS TRI-ESTADO,


COMPUERTAS SCHMITT-TRIGGER, COMPUERTAS CON SALIDA DE
COLECTOR ABIERTO

Generalidades
Profesor: Jhon Jairo Ramírez Echeverry
Duración: 1 Sesión

Descripción
Se realizará la implementación de tres circuitos con compuertas lógicas que tendrán una única
salida de activación de un circuito que trabajo con voltajes de A.C. Esto se hará a través de una
interfaz DC –AC por medio de un relé.
Se hará uso de algunos dispositivos especiales que complementan las diferentes funciones de las
compuertas al permitir, por ejemplo, el manejo de corrientes "elevadas" como son las compuertas de
colector abierto, la construcción de buses de datos por medio de triestados y un reconstructor de
ondas cuadradas con Schmitt Trigger.

Objetivos
• Aplicar conceptos de lógica combinacional en un circuito práctico.
• Conocer el funcionamiento de los circuitos lógicos triestados y de los negadores o Buffers con
salida de colector abierto.
• Realizar una práctica con elementos adicionales de control de procesos automatizados como
son las fotorresistencias, sensores infrarrojos y los relés.

Materiales
• Diseño y análisis de los circuitos a implementar (Deben estar realizados para el día del
laboratorio).
• Multímetro (Ohmetro).
• 1 fotorresistencia.
• 1 Emisor y receptor de infrarrojo.
• Compuertas AND, OR, NOT y sus complementos
• 3 buffers tri-estados (salida no negada o negada)
• 1 no inversor con salida de colector abierto.
• 1 relé de 12 V de D.C.
• 1 diodo 1N004
• Led
• Resistencias (Según cálculos)
• Bombillo a 110 V A.C. con plafón y cables para voltajes de A.C.
• Hojas de datos de los integrados a utilizar

Desarrollo
Antes de la sesión práctica se deben tener los cálculos teóricos del diseño y el análisis de los
circuitos a implementar, los cuales están descritos en el ítem montajes de esta guía.
En general el laboratorio está conformado por cuatro partes: Sustentación preliminar, montajes,
sustentación e informe final.

Sustentación preliminar: Prueba escrita en la que se preguntará acerca de criterios empleados en el


diseño de los circuitos de este laboratorio.
Para ello se deberá tener claridad sobre los cálculos realizados, el funcionamiento y los resultados
que se esperan en cada circuito.
Se efectuará antes de la práctica en el aula del laboratorio.

Montajes:
La figura 2.1 recopila la información gráfica sobre todas las diferentes partes que componen el
circuito a implementar en esta práctica.

1. Diseño de un circuito lógico combinacional con compuertas.


• Cálculos:
Realice el flujo de diseño completo para un circuito combinacional generador del bit de paridad
impar para datos binarios de cuatro (4) bits.
Después de realizado el flujo de diseño total, se deberá implementar el circuito en el protoboard ya
sea con compuertas de integrados que pertenezcan a la familia TTL o CMOS; y con compuertas
AND, OR o NOT o con sólo compuertas NAND, teniendo cuidado en el manejo de los voltajes y
de las corrientes (Ver Hojas de datos de los integrados) y dejando la etapa de visualización para
implementar sólo como paso final de esta guía (Circuito 4).

Las entradas serán dadas al circuito por medio de interruptores (NO se permite el uso de los
entrenadores)

La salida será visualizada por medio del bombillo de A.C. (120V A.C.), sólo que la salida digital del
circuito combinacional no es conectado directamente a este dispositivo, por razones que sobra
aclarar. Para ello se empleará un relé que permita acoplar la etapa digital a la etapa de potencia
(A.C.). Vea información circuito 4 Interfaz DC-AC de esta guía para estudiar cómo se propone
realizar esta parte del circuito.

Note en la figura 2.1 que a través del bombillo también se verá la salida de otros dos circuitos cuyas
funciones son explicadas en los puntos dos y tres.

• Pruebas y resultados:
Una vez diseñado el circuito completamente en los diferentes niveles de especificación desde el más
alto hasta el nivel inferior, éste deberá ser implementado en el protoboard y se le aplicarán todas las
combinaciones posibles de las variables de entrada con el fin de comprobar su funcionamiento a
través de un una resistencia y un LED (o directamente con voltímetro). Pasada esta etapa de
comprobación continúe con los puntos dos y tres.
Nota: SÓLO al final de toda esta práctica implemente las etapas del bus de datos con los 3 tri-
estados y la de salida con el relé y el bombillo(Ver información circuito 4).

2. Diseño de un circuito detector de presencia de un objeto.


• Cálculos:
A través de un emisor y receptor de infrarrojo (o en su defecto un Optoacoplador) diseñe e
implemente un sensor de presencia de un objeto. La salida de dicho sensor será un nivel lógico alto
que al ser conectado al bus de datos, le indicará al relé que se active y haga que el bombillo de 120
V A.C se encienda cuando haya un objeto que obstruya el paso de los rayos infrarrojos entre el
emisor y el receptor.
Para conocer cómo se hace la implementación física de este circuito consulte libros de electrónica
análoga [1] [2] que hablen acerca de Fotodiodos, optoacopladores, diodos infrarrojos, etc.
Además, del montaje anterior, es muy probable que en esta etapa sea necesario, a parte de los
infrarrojos, adicionar un reconstructor de ondas cuadradas con una compuerta Schmitt Trigger en la
salida de este sensor con el fin de conformar mejor los “1” y ”0”. Como ejemplo, se puede citar el
negador 74ls14 es una compuerta NOT que además de cumplir con la función lógica, también hace
las veces de reconstructor de pulsos cuadrados. De esta manera, siempre a su salida se tendrá un
"0" o un "1" lógicos, incluso ante señales de entrada con ruido que estén a menudo dentro de la
zona de indeterminación.

• Pruebas y resultados:
Una vez implementado el sensor, por medio de un objeto obstruya el rayo de infrarrojo; y al igual
que se notó para el circuito uno, NO aplique la salida de este circuito al bus de datos (Ver figura
2.1), sino hasta cuando haya comprobado su funcionamiento correcto individualmente a través de
una resistencia y un LED (o directamente con voltímetro). Esto le permitirá estar seguro del
correcto funcionamiento de cada una de las etapas antes de unirlas por completo.

3. Diseño de un circuito de baja luminosidad.


Las fotorresistencias son dispositivos cuya resistencia es variable de acuerdo con la luminosidad
del ambiente en el que se encuentre. Así, si la fotorresistencia es de coeficiente negativo de
luminosidad se dice que la resistencia interna incrementará al momento de disminuir la luz que
incide en el dispositivo. Y si la luminosidad aumenta, la resistencia disminuye. Si es de coeficiente
positivo de luminosidad, el comportamiento es inverso al ilustrado anteriormente.
• Cálculos:
Por medio de una resistencia sensible a la luz (fotorresistencia), diseñe un circuito que permita
determinar automáticamente cuándo un recinto se encuentra con baja luminosidad. Para ello haga
uso de un potenciómetro en serie con la fotorresistencia y halle el valor de la resistencia del
potenciómetro, de manera práctica (ensayo y error) para el cual el voltaje de salida (Nodo donde se
mide el divisor de tensión) presenta la máxima variación posible, de tal manera que ante la
presencia o ausencia de luz dicha salida se asemeje a los dos estados lógicos “1” ó ”0”.
Al igual que para el circuito dos de este laboratorio, se aconseja el uso de un conformador de ondas
cuadradas (Schmitt Tigger) tal como se muestra en la figura 2.1. DISEÑO TRES. (Tener en cuenta
VT+ y VT- de la compuerta con principio de Schmitt Trigger).

En caso de suficientes pruebas sin lograr que a la salida del voltaje en la fotorresistencia haya
cambios significativos, entonces se deberá implementar esta sección con un transistor en corte y
saturación que nos sirva de amplificador para que dicho cambio pequeño de voltaje sea visto en la
unión colector-emisor con mucha más variación.

• Pruebas y resultados:
Una vez implementado el circuito verifique, de manera separada a los anteriores dos, que está
cumpliendo con la función para cual fue diseñada esta parte.

4. Etapa de control del bus de datos e interfaz DC - AC.


Etapa de control del bus de datos:
• Cálculos:
Debido que se tienen tres circuitos cada uno con una salida cuyo estado depende de variables
completamente independientes y a que se cuenta con una única opción de visualización TOTAL
de la salida (Bombillo), se necesita un circuito adicional de control que determine cuál de los
tres circuitos, en un momento dado, tiene permiso para poner su estado sobre el bus de datos y
observar su estado lógico a la salida.
Para ello, diseñe un circuito combinacional (flujo completo de diseño) que le permita obtener a
partir de DOS entradas binarias el control sobre cuál circuito de los tres anteriores puede indicar
su estado en la salida TOTAL (Bombillo).
Las tres salidas de este circuito de control (una por cada una de las implementaciones anteriores)
irán cada una a la compuerta de cada tri-estado con el fin de que active el camino hacia la salida
sólo del circuito cuyo pin de Gate esté activo, al tiempo que los otros circuitos tendrán su Gate
respectiva inhabilitada. (Ver figura 2.1 y tabla 2.1)

COMBINACIÓN DE ENTRADA
CIRCUITO DE CONTROL SALIDA 1 SALIDA 2 SALIDA 3
OFF ON ACTIVA INACTIVA INACTIVA
ON OFF INACTIVA ACTIVA INACTIVA
ON ON INACTIVA INACTIVA ACTIVA
Tabla 2.1 Lógica de funcionamiento del circuito de control

• Pruebas y resultados:
Una vez diseñado el circuito, efectúe su implementación y verifique su funcionamiento individual
(Sin conectar aún a las compuertas de los tri-estado) aplicando en los pines de entrada las diferentes
combinaciones posibles a través de dos interruptores y observando las respectivas salidas por medio
de una resistencia y un LED ó con el voltímetro.
Una vez finalizadas todas las implementaciones y pruebas de los circuitos anteriores, dispóngase a
interconectarlas tal como lo sugiere el esquema circuital.

Etapa interfaz DC - AC:


• Cálculos:
Finalmente se agrega al circuito total la interfaz DC – AC, la cual consta de una compuerta
(Inversor o no inversor) con salida de colector abierto que permitirá conectar directamente el bloque
circuital digital al relé (Ver figura 2.1).
El negador 7405 es una compuerta NOT que posee la propiedad especial de contar con una salida
denominada "de colector abierto" (open-collector). Esto permite que ella pueda manejar valores de
corriente mucho mayores en su salida, comparada con las demás compuertas NOT que no tienen
este tipo de salida. En esta práctica se hace necesario que esta compuerta sea de salida de colector
abierto debido a que por medio del estado lógico que ésta nos proporciona vamos a controlar que
haya o no corriente por el relé (y por el pin de salida de la compuerta), con el fin de que se cierre o
se abra el contacto que tiene internamente el éste.
La corriente por esta bobina es del orden de los 30 m.A. cuando el estado lógico del 7405 sea bajo,
ya que allí dicha salida hace las veces de tierra y el voltaje de 12 V al cual se encuentra conectado el
relé provocará el fluj de corriente a través de su bobina. Esto hará que los switches se conmuten.
(Compare con las hojas de datos de un negador o buffer con salida normal y notará que la mayoría
de ellos soportan máximo 10m.A. a la salida de la compuerta).

Para la conexión del bombillo determine cuáles son los pines de los interruptores del relé.
El relé es un dispositivo análogo que funciona con base en el principio físico de generar un campo
magnético al aplicar flujo de corriente en un conductor. De esta manera cuando se aplican 12
voltios entre los pines de la bobina del relé se genera una corriente; y al tener corriente por dicho
conductor se produce un campo magnético (imán artificial) que hará que los contactos internos
(mini-switches) cambien de posición haciendo que el contacto normalmente abierto se cierre y el
contacto normalmente cerrado se abra.
En este ejercicio se empleará sólo uno de estos contactos, de acuerdo con la lógica general del
circuito. (Cualquier inquietud consúltela con el docente)
Dicho montaje deberá concordar con las lógicas de salida de cada uno de los circuitos digitales, así:

Circuito Bombillo encendido Bombillo apagado


Circuito N°1 Bit de paridad “1” lógico Bit de paridad “0” lógico
Circuito N°2 Presencia de objeto No presencia de objeto
Circuito N°3 Baja luminosidad en el recinto Alta luminosidad en el recinto
Tabla 2.2 Lógica de funcionamiento de la salida del circuito total

Bus de datos
Una línea

120 V A.C.

Generador
bit de
paridad
impar

Sensor de
presencia

Sensor
iluminación

Out 1 Out 2 Out 3

Circuito de control
bus de datos

FIGURA 2.1. Bombillo de A.C. controlado por tres circuitos tipo digital.

Sustentación : Parámetro de calificación de las prácticas que se evaluará por medio del trabajo visto
en el laboratorio. Recuerde que de acuerdo con el documento "normas para el trabajo en el
laboratorio", es necesario para la sustentación del laboratorio contar con las hojas de datos de los
integrados, el diseño del circuito con los pines que correspondan exactamente a la implementación
hecha en el protoboard y el circuito funcionando correctamente.

Informe del laboratorio: Presentación escrita de todos los cálculos teóricos y los resultados
obtenidos en el laboratorio. Se hará con base en el documento sobre presentación de artículos
técnicos internacionales expedido por el IEEE.

Bibliografía
[1] Dispositivos electrónicos. Thomas L. Floyd. Tercera Edición 2003.
[2] Boylestad, R.; Nashelsky, L.; "Electrónica. Teoría de circuitos". Ed. Prentice Hall. 1994.
[3] Sistemas Digitales. Principios y Aplicaciones. Ronald J. Tocci. Sexta Edición.
[4] Diseño Digital: Principios y prácticas. Jhon F. Wakerley.

Vous aimerez peut-être aussi