Vous êtes sur la page 1sur 258

51971667

dyx2007@ncepu.edu.cn
D321
2011/9/24

2002

200794%
,2007
295EB(Exabyte) 1EB1018
byte10GB
CD

2011/9/24


---

---

---TTL CMOS


---


1 01



201012

VHDL

204-206
207-209
70%30%









2011/9/24

A/D
2011/9/24

01

01

2011/9/24

5V
0V

1
0

H
L
3

b16

2011/9/24

Decimal

N D = (kn- 1kn- 2 k1k0 ?k- 1 k- m ) D

n- 1

ND =

i= - m

ki ? 10i

i
0-910

Binary

N B = (kn- 1kn- 2 k1k0 ?k- 1 k- m ) B



n- 1

NB =

ki ? 2 i

i= - m

012

Octal

N O = (kn- 1kn- 2 k1k0 ?k- 1 k- m ) O


n- 1

NO =

ki ? 8i

i= - m

0-78

Hexadecimal

N H = (kn- 1kn- 2 k1k0 ?k- 1 k- m ) H

n- 1

NH =

i= - m

ki ? 16i

0123456789
ABCDE F16

0~9

10

10i

(N)D
(N)10
(N)B
(N)2

01

2i

0~7

8i

(N)O
(N)8

0~F

16

16i

(N)H
(N)16

N
n- 1

N N = (kn- 1kn- 2 k1k0 .k- 1 k- m ) N =

ki ? N

i= - m

2011/9/24

13

1-1 10011.101

(10011.101)B124023022121
120121022123
19.625)D
2011/9/24

14

2 ,

2
2

1-2(37.41)D

2-5
1 2

2
0

100101
2011/9/24

16

22

0.412=0.82 0
0.822=1.64 1
0.642=1.28 1
0.282=0.56 0

.01101

0.562=1.12 1
2-5-5
(37.41)D=100101.01101B
2011/9/24

17


1-375.3O

75.3o78158038-1
61.375)D
1-4(44.375)D
1 8
28
(44.375)D=(54.3)O
2011/9/24

18


1-5 AF.1H

AF.1H 1016115160116-1
175.0625)D
1-6 (154.375)D
1 16
2 16
2011/9/24

19

000
0

001
1

010
2
011
3
(374.26)O
100
4
=(011111100
.
101
5
010110)B
100110.01101B
110
6
=46.32O
111
7
2011/9/24

20



0000
0001
0010
0011
0100
0101
0110
0111
2011/9/24

0
1
2
3
4
5
6
7

1000
1001
1010
1011
1100
1101
1110
1111

8
9
A
B
C
D
E
F

(AF4.76)H
(AF4.76)H
= (101011110100 .
01110110)B
21

ASCII

2011/9/24

22

bit

2011/9/24

23

1
nN2n

0-9

2011/9/24

24

BCD
Binary-Coded-Dicimal

8421

5421

2421

0000

0000

0000

0011

0001

0001

0001

0100

0010

0010

0010

0101

0011

0011

0011

0110

0100

0100

0100

0111

0101

1000

1011

1000

0110

1001

1100

1001

0111

1010

1101

1010

1000

1011

1110

1011

1001

1100

1111

1100

8421BCD3
(258.369)D

(258.369)D =
(0010 0101 1000 . 0011
0110 1001)8421BCD
= (0101 1000 1011 . 0110 1001
1100)3
2011/9/24

26

0000

0000

1000

1100

0001

0001

1001

1101

0010

0011

10

1010

1111

0011

0010

11

1011

1110

0100

0110

12

1100

1010

0101

0111

13

1101

1011

0110

0101

14

1110

1001

0111

0100

15

1111

1000

2011/9/24

27


ASCII
ASCIIAmerican Standard Code
for Information Interchange
7
128


2011/9/24

28

ASCII

2011/9/24

29









2011/9/24

1 0

2011/9/24


ABH

A
B
H

2011/9/24

11F
0

2011/9/24

L = AB = AB
5

L=A+B

2011/9/24

0
1

1
0

L= A

2011/9/24

2011/9/24

2011/9/24

L = AB

2011/9/24

L = A+ B

10

2011/9/24

0 1

L = A? B
= AB + AB

11

2011/9/24

10

L = A B
= AB + AB

12

L = AB + CD

2011/9/24

13

t1

t2

t3

t4

L = AB + AB

&
&


1.

0-1

A+0=

A+1=

A+A=
A+B=B+A

A1=

A0=

AA=
AB=BA

A + B + C = (A + B) + C
A ( B + C ) = AB + AC

A B C = (A B) C
A + BC = ( A + B )( A + C )

A+A=

A A=

ABC = A + B + C , A + B + C = ABC
A + A ?BA
A ?( A B)A
A + A ?BA B ( A + B) ?( A C )A + BC

AB + AC + BC = AB + AC
AB + AC + BCD = AB + AC

2011/9/24

19

A+BC

= (A+B)(A+C)

A+B(CD) = (A+B)(A+CD)
= (A+B)(A+C)(A+D)
2011/9/24

20

0110

2011/9/24

21

1-12 L = AC + BD

L = ( A + C ) ?( B
1-13 L = A ?B

D)
C + D

L = A + B C D

2011/9/24

22


1.

011
0 L L

2011/9/24
23

2.

1-14 A + AB = A + B
A( A + B) = AB

A + AB
A+ B
2011/9/24

A( A + B)
AB
24








2011/9/24

25

A B C

2011/9/24

Y1 Y2

26

ABC
L1
01
0
2011/9/24

1
27

L=
? f ( A, B, C )

/0/1

2011/9/24

28


1.
nn
n

minterm
ABC , ABC , ABC ,

2011/9/24

AC , A( B + C )

29

:
ABC

ABC

ABC

ABC

ABC

ABC

ABC

ABC

ABC

11
20
2011/9/24
31

30

2.

10

L(A,B,C), L(A,C,B)
2011/9/24

31

3.

2011/9/24

32

1-15 L = AB + AC
L( A, B, C ) = AB + AC = AB (C + C ) + AC ( B + B)
= ABC + ABC + ABC + ABC
= m7 + m6 + m3 + m1

L(C , B, A) = CBA + CBA + CBA + C B A


= m7 + m3 + m6 + m4

LACBLBCALBAC
2011/9/24

33

1-16

L = ABC + ABC + ABC + ABC

2011/9/24

1
34


1.
nMn
n
M

A + B + C,

2011/9/24

A + B + C,

A+ B + C

35

:
A B C

A+ B + C

A+ B + C

A+ B + C

A+ B + C

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

A+ B + C

A+ B + C

1 1 0

1 1 1

A+ B + C

A+ B + C

10
21
30
2011/9/24

36

2.

01

A+ B + C
2011/9/24

101

M5
37

3.

mi = M i , M i = mi

M 7 = A + B + C = A B C = m7
m7 =A B C =A B C =A + B + C =M 7
2011/9/24

38

4.


0
0
1

2011/9/24

39

= ( A + B + C )( A + B + C )( A + B + C )( A + B + C )

=
M 0 M 1 M 2 ?M 4

L( A, B, C )

M (0,1, 2, 4)

L( A, B, C )
= ABC + ABC + ABC + ABC
= m(3,5, 6, 7)
2011/9/24

40

ABC + ABC = BC
AB + AB = B
2011/9/24

41


:n

,n

2011/9/24

42

AB 0
1
0 AB AB

1 AB AB

B
BC
A 00 01 11 10
B C Am
BC Am
BC
0 AmB C0 Am
1
3
2
A

Am
B C Am
B C ABC
ABC
4
5 m7 m6

CD
AB
00 01 11 10
00 m0 m1 m3 m2
01 m4 m5 m7 m6
A

11

m12 m13 m15 m14

10

m8 m9 m11 m10

C
:1

2011/9/24
43
2


BC
DE
00
00
0

A =0

01

11
10

11

10

12

01

13
15

14

9
11

10

BC
DE
A =1

00
01
11

10

2011/9/24

00

01

11

16

20

28

17
19

18

21
23

22

29
31

30

10
24
25

27
26
44

1
0

L = A + BC + A + BC
C
B
A

BC

2011/9/24

00

01

11

10

A
0

45

G = AB + BCD

CD

00

01

11

10

00

01

11

10

AB

2011/9/24

46

Y
A

BC 00

01

11

10

0
1

Y = A ?( B
2011/9/24

C)
47

L = AC + C D

= A C C D
= (A + C )(C + D)

= (A + C ) + (C + D)

= AC + CD

-
-

--


1+
2

1
L = ABC + ABC = AB
1. A + A =
1 L = AB + ABCD(E + F ) =
2. A + AB =
3. A + AB =A + B L = AB + AC + BC
4. L = AB + AC + BC = AB + AC + (A + A)BC
2011/9/24

AB

50

1-17

L = ABC + ABC + ABC + ABC


= ABC + ABC + ABC + ABC + ABC + ABC
= BC ( A + A) + AC ( B + B) + AB (C + C )
= BC + AC + AB

2011/9/24

51

1-18

L = AD + AD + AB + AC + BD + ABEF + BEF

L = A + AB + AC + BD + ABEF + BEF

= A + AC + BD + BEF

= A + C + BD + BEF

2011/9/24

A+AB=A
A + AB = A + B

52

1-19 L = AB + BC + BC + AB
1

2011/9/24

53


1.
A BC D + A BCD = A BD

CD 00

01

11

00 m0

m1

m3

m2

ABC D + ABCD = ABD

m4

m5

m7

m6

A BD + ABD = AD

AB

01
11

10

m12 m13 m15 m14

10 m8

ABD + ABD = AD

m9 m11 m10

AD + AD = D

121

l
242
l
383
l

2nn
l

2.

------
------
------

------2n
------1
1
------
2011/9/24

56

Y ( A, B, C ) = AC
+ A C + B
C + BC

BC

00

01

11

10

AB + A C + BC ?
2011/9/24

57

Y ( A, B, C ) = AC + A C + BC + BC
BC

00

01

11

10

AC + A B + B ?C
2011/9/24

58

Y ( A, B, C ) = AC + A C + BC + BC

AB + A C + BC ?

AC + A B + B ?C

2011/9/24

59

Y = ABC + ABD + AC D + C D+ AB C + ACD


CD

AB 00
00

01

11

10
1

01

11

10

A+ D
2011/9/24

60

1-22

L(A,B,C,D)=m (0,4,5,8, 9,11,13,15)

2011/9/24

61

22n12
48
3
-

2011/9/24

62

41
A+A+A=A
51
1
6
1
7

2011/9/24

63

(dont cares)
2011/9/24

64

1-26

2011/9/24

65

A B C
1
0L
L =1L =0
2

L=B
2011/9/24

66

1-27

LABCD=m02468
+d101112131415

Y ( A, B, C , D) = D

d101112131415=0
2011/9/24

67


2011/9/24

68

(SSI)

Z = F(X )
Z = ( z1 , z2 ,..., z j )
X = ( x1 , x2 ,... xi )

L= Z C
= ( A B) C

= A B C

=1
=1
=

&
&

&
&

(SSI)

1.
2.
3.
4.
5.

L0

I0

L1

I 0 I1

L2

I 0 I1 I 2

L0

I0

L1

I 0 I1

L2

I 0 I1 I 2


L0

I0

L1

I 0 I1

L2

I 0 I1 I 2

38421BCD

1A 3 A 2 A 1 A 03
L 3 L 2 L 1 L 08421BCD
30000000100101101
11101111

8421

A3

A2

A1

A0

L3

L2

L1

L0

L 3 = A3 A2 + A3 A1 A0 = A3 A2 A3 A1 A0

L 3 = A3 A2 + A3 A1 A0 = A3 A2 A3 A1 A0

L2 = A2 A0 + A2 A1 A0 + A3 A1 A0 = A2 A0 A2 A1 A0 A3 A1 A0
L1 = A1 A0 + A0 A1 = A1 A0

L0 = A0

3
A0

A1
A2

L0

=1

L1
&
&

&

L2

&

L3

1
A3

&

&
&

=0 =1 =1
=1 =0 =1

=0 =1 =0
=1 =0 =0

1.

2.

a
L= A + A
1=
L AB + AC
L = AB + AC + BC
b
L = AA
0 L =
( A + B)( A + C )
L = AB + AC + BC

&

pF

(SSI)

(Encoder)
:

14-24
24

110
4I0 I1 I2 I32
A1 A0
I000I101I2
10I311
24
16
12

I0

I1

I2

I3

A1

A0

A1 = I 0 I1
A0 = I 0 I 2

24-2

1I 0
I 1 I 2 I 3

2
3

I3

I2

I1

I0

A1

A0

A1 = I 2 + I 3
A0 = I 3 + I1 I 2

8421BCD
0-98421BCD

S9

S8

S7

S6

S5

S4

S3

S2

S1

GS

S0

GS

A = S8 + S 9 B = S 4 + S 5 + S 6 + S 7
1.
C = S 2 + S3 + S6 + S7 D = S1 + S3 + S6 + S7 + S9
2.
3.GS GS = A B C D S0

8421BCD
A

GS
1

VCC
1k10

&

&

&

&

&

S0
S1
S2
S3
S4
S5
S6

A = S8 + S 9 = S8 S 9

B = S 4 + S5 + S 6 + S 7 = S 4 S5 S 6 S 7
C = S 2 + S3 + S 6 + S 7 = S 2 S3 S 6 S 7
D = S1 + S3 + S6 + S7 + S9 = S1S3 S6 S7 S9

S7
S8
S9

GS = A B C D S0 = A + B + C + D ?S0

74X148

8-3

GS
EI

EO

EI

I0

I1

I2

I3

I4

I5

I6

I7

A2

A1

A0

GS

EO

EO = EI I 0 I 1 I 2 I 3 I 4 I 5 I 6 I 7

GS = EI + EI I 0 I 1 I 2 I 3 I 4 I 5 I 6 I 7
= EI + EO
= EI EO

A2 = EI + I 4 I 5 I 6 I 7

A1 = ( I 2 I 4 I 5 + I 3 I 4 I 5 + I 6 + I 7 ) ?EI

A = ( I I I I + I I I + I I + I ) ?EI
1 2 4 6
3 4 6
5 6
7
0

A1A0

74X148

Highest Priority
Binary

1.2X 15-X 8Y 3 A 2 A 1
A 0 EO21
2.2EO21

GS
74LS14816416
164
X
15~X
&
&
&
& 0
X15, X0
Y3

GS
EI
0

EI

Y1

Y2

A2

A1

74148(2)

Y0

A0

GS
EO

EI

A2

A1

74148(1)

A0
EO

I7 I6 I5 I4 I3 I2 I1 I0

I7 I6 I5 I4 I3 I2 I1 I0

X15 X14 X13 X12 X11 X10 X9 X8

X7 X6 X5 X X3 X2 X1 X0
4

EO

74X1488421BCD

Y3 = I 8 I 9 + I 9 = I 8 I 9

I0

I1

I2

I3

I4

I5

I6

I7

I8

I9

Y3

Y2

Y1

Y0

decoder

2-4

1AB
Y0 Y1 Y2 Y3
AB=00Y0AB=01Y1
AB=10Y2AB=11Y3
2

A
0
0
1
1

Y0 = A B

Y1 = AB
Y2 = AB
Y3 = AB

B
0
1
0
1

Y0
0
1
1
1

Y1
1
0
1
1

Y2
1
1
0
1

Y3
1
1
1
0

74X138

G1

G2A G2B

A2

A1 A0

Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

Y ( A , A , A ) ?
G1 G2 A
G2 B A2 ? A1 A0
0 2 1 0 =

G1
G2 A G2 B A2 A1 ? A0
Y1 ( A2 , A1 , A0 ) =

G1 G2 A G2 B m0
G1 G2 A G2 B ? m1

Y ( A , A , A ) ?
G1 G2 A
G2 B A2 ? A1 A0
0 2 1 0 =

G1
G2 A G2 B A2 A1 ? A0
Y1 ( A2 , A1 , A0 ) =

G1 G2 A G2 B m0
G1 G2 A G2 B ? m1

Yi = mi
G1=1G2A =0G2B=0

1
74X1384-16
DCBA Z0-Z1516

74X138
D

Z = DC B A
0

Z1 = DC BA
D1G2AG2B

2G1

Z = DCBA
7

Z = DC B A
8

Z 9 = DC BA

Z = DCBA
15

EN

Z0

Z1

Z2

Z3

Z4

Z5

Z6

Z7

Z8

Z9

Z10

Z11

Z12

Z13

Z14

Z15

2
:74X138
L( A, B, C ) = ABC + ABC + ABC + ABC

= m3 + m5 + m6 + m7
Y0 ( A2 , A1 , A0 ) =

Y1 ( A2 , A1 , A0 ) =
Y ( A , A , A ) =
2 2 1 0
Y3 ( A2 , A1 , A0 ) =

Y4 ( A2 , A1 , A0 ) =
Y ( A , A , A ) =
5 2 1 0
Y6 ( A2 , A1 , A0 ) =
Y ( A , A , A ) =
7 2 1 0

A2 A1 A0
A2 A1 A0
A2 A1 A0
A2 A1 A0
A2 A1 A0
A2 A1 A0
A2 A1 A0
A2 A1 A0

= m0
= m1
= m2
= m3
= m4
= m5
= m6
= m7

L = Y3Y5Y6Y7

:74X138

L( A, B, C ) = ABC + ABC + ABC + ABC

= m1 + m2 + m4 + m7 = m1 m2 m4 m7

F ( A, B, C ) = ABC + ABC + ABC = m3 + m5 + m6 = m3 m5 m6


G ( A, B, C ) = ABC + ABC + ABC + ABC = m0 + m2 + m4 + m6 = m0 m2 m4 m6

1.
2.

3.
4.43/8
3/84/16

3.

A2 A1 A0
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

D0=D
D1=D
D2=D
D3=D
D4=D
D5=D
D6=D
D7 =D

G2AY0 Y1Y2 Y3 Y4 Y5 Y6 Y7
A2AlA0
Yi = G1 G2 A G2 B mi

A2A1A0 =001

D1 = Y1 = G2 A A2 A1 A0 = D
Di = Yi = 1

(i ? 1)


74X428421BCD

A3

A2

A1

A0

Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

Y8

Y9

(LED)

1.
2. 74X48

abcdefg
D.P
abged
2

1.

2.

a
b

b
c

c
d

d
e

e
f

f
g

74X48
74X48
4

lamp testing

ripple blanking input

3.LT=0
1.
a-g
LT=1BI/RBO=1
1
2.LT=1

0RBI
4.=10
BI/RBO
BI=0

RBI
a-g0
=0
BI
a-g0

RBI
RBI=00000

RBO=0

multiplexer

8174X151
1

Y = G A2 A1 A0 D0 + G A2 A1 A0 D1 + G A2 A1 A0 D2 + G A2 A1 A0 D 3
+ G A2 A1 A0 D4 + G A2 A1 A0 D5 + G A2 A1 A0 D6 + G A2 A1 A0 D7
7

G=0 Y = mi Di
i =0

1.
2.

1.

281
G
A2A1A0=001
D10D00
Y1Y0

2.
161

Y ( A2 , A1 , A0 ) = mi Di
i =0

D7
D6
D5
D4
D3
D2
D1
D0
E

Y
74X151

D0 =D3=D5 = D7=0
D1 =D2=D4= D6=1

Y = m1 + m 2 + m 4 + m 6
D0 =D3=D5 = D7=1
D1 =D2=D4= D6=0

Y = m0 + m3 + m5 + m7
S2 S1 S0

Di

8174X151

L = AB + BC + AC

L( A, B, C ) = ABC + ABC + ABC + ABC


= m3 + m5 + m6 + m7
A B CA 2A 1
A 0L =Y
L 74X151L
1L
0D 3=D 5=D 6=D 7=1
0

8174X151
L( A, B, C , D) = ABCD + BC D + AC

L = ABCD + BC D + AC
= ABCD + ABC D + ABC D + ABCD
+ ABCD + ABC D + ABC D
= ABCD + ABC D + ABC D + ABCD + ABC D

L( A, B, C ) = m7 ? D

m7 ? D

+ m5 ? D
= m7 ? ( D

D) + m3 ? D

= m7 ?1 m3 ? D

m3 ? D

m5 ? D
m5 ? ( D

D)

m5 ?1

() /

D0-D78
L=01001101Y
0 1 00110 1
S0
S1

S2
S1
S0

A2
A1
A0
E

74X151
Y

S2
L 0

1
---
---
111

1Half Adder
1AB
S =AB + AB =A B
C = AB

1Full Adder

Si

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
1
1
0
1
0
0
1

0
0
0
1
0
1
1
1

Ai

Bi

Ci-1
Ci

Ai

Bi

Ci-1

1
S = A B C i + A BC i + AB C i + ABCi
= A B Ci
C o = AB + AB C i + A BC i

= AB + ( A B )C i
A
B
Ci

CO

A B

AB

CO

A B Ci

( A B)Ci

A
B
Ci

CI

CO

Co

74151\74138?

,?

S
CO

A3 A2 A1 A0 + B3B2 B1 B0 =?
1.
A0

FA0

S0

B0

A1

C0

B1

FA1

S1

A2

C1

B2

FA2

S2

A3

C2

B3

FA3

C3

S3

2.

Ci = Ai Bi + ( Ai Bi )Ci 1

GiPi
Gi = Ai Bi
Pi = ( Ai Bi )
C=
Gi + PC
i
i i 1
Si = Ai Bi Ci 1

74X283
74X283

S1 1

16 VCC

B1 2

15 B2

A1 3

14 A2

S0 4

13 S2

A0 5

12 A3

B0 6

11 B3

C1 7

10 S3

GND 8

9 CO

74X283

74X2838

74X2838421BCD3
842100113
8421
0 0 1 1
A3 A2 A1 A0
CCO
O

B3 B2 B1 B0
C1

74283
S3

S2

S1

S0

AB

474X85

F A>B

F A<B

F A=B

F A>B = A B

&
1

FA< B = A B
&

FA =B = A B + AB

FAB

FA=B
FAB

2
2A=A1A0 B=B1B0

A1

B1

A0

B0

FAB

FAB

FA=B

FA> B =
A1 B1 + A1 A0 B0 + B1 A0 B0

1?
A1B1A0B0

A1

B1

A0

FAB

B0

FAB

FA=B

A1B1

A1B1

A1B1

A0B0

A1B1

A0B0

A1B1

A0B0

FA>B = (A1>B1) + ( A1=B1)(A0>B0)

FA=B=(A1=B1)(A0=B0)

FA<B = (A1<B1) + ( A1=B1)(A0<B0)

A1

B1

A1B1
1

A1B1

G1

FAB

&

A0B0

A0

B0

A1=B1

A0=B0

G2

FAB

&

A0B0
G3
&

FA=B

474X85
74X8542

474LS85

A3 B 3

A2 B2

A1 B1

A0 B 0

IA>B IA<B IA=B FA>B FA<B FA=B

A3 > B3

A3 < B3

A3 = B3

A2 > B2

A3 = B3

A2 < B2

A3 = B3

A2 = B2

A1 > B1

A3 = B3

A2 = B2

A1 < B1

A3 = B3

A2 = B2

A1 = B1

A0 > B0

A3 = B3

A2 = B2

A1 = B1

A0 < B0

A3 = B3

A2 = B2

A1 = B1

A0 = B0

A3 = B3

A2 = B2

A1 = B1

A0 = B0

A3 = B3

A2 = B2

A1 = B1

A0 = B0

A3 = B3

A2 = B2

A1 = B1

A0 = B0

A3 = B3

A2 = B2

A1 = B1

A0 = B0

4---8


5416
5IA>B=0, IA=B=1, IA<B=0
4

MSI

3MSI

L1

1
1

L2

1
1

L3


CC=0

C=1

4---2

GSEO
EI

5.1
5.2
5.3
5.4
5.5
5.6

2
3
4

.
.
.

Z = F1 X ,Q n

D = F2 X , Q n

) (

Q n+1 = F3 D ,Q n = F3 X ,Q n

X
1
CP

Q1

=1

1J

FF 1

1J

CP

C1

C1
1K

& Z

Q2

Q1

Q2

1K
FF 2

&

1D

FF0

1D

Q0

FF1

Q1

Q0

Q1


X Q

=
Z (Q0 + Q1 ) X

1.
&

D0

1D
C1

=
(Q0 + Q1 ) X
D
0

D1 = Q0 X

Q0
Q0

FF0

&

D1

1D
C1

CP

Q1

Q n+ 1 = D

Q1

FF1
&
1

n +1
n
n
Q=
+
(
Q
Q
0
0
1 )X
n +1
n
Q1 = Q0 X

2
ZQn+1 X
Qn

Qn

X
Qn+1/Z

=
Z (Q0 n + Q1n ) X
n +1
n
n
Q=
+
(
Q
Q
0
0
1 )X
n +1
n
Q1 = Q0 X

Q1n Q0n
00
01
10
11

Q1n+ 1Q0n+ 1 / Z
X=0

X=1

00/0
0 0/ 1
0 0/ 1
00/1

10/0
01/0
11/0
01/0

3.

X/Z
Q1Q0

0/0

1/0

n
1

Q Q
00
01
10
11

n
0

n+ 1
1

n+ 1
0

X=0

0/1

/Z

00/0

X=1
10/0

0 0/ 1
00/1
0 0/ 1

01/0
11/0
01/0

01

00

1/0
0/1
10

0/1 1/0
1/0

11

4.
,

n
1

Q Q
00
01
10
11

n
0

CP

Q1n+ 1Q0n+ 1 / Z
X=0
00/0

X=1
10/0

0 0/ 1
00/1
0 0/ 1

01/0
11/0
01/0

Q0

Q1

1 1 0

0 0 0
1 1

0 0 1

. :
1.

2.
(1)
(2)
(3)
(4)

3.
4.

.
1

T0

1T
C1

Q0

&

Q0

G2

FF0
&
CP

G1

T1

1T
C1
FF1

(1)

Q1
Q1

T
()

(2)

T0

Y=AQ1Q0

:
T0=A
T1=AQ0

1T
C1

Q0

&

Q0

G2

FF0
&
CP

G1

T1

1T
C1

Q1
Q1

FF1

T
Q n +1 = T Q n = T Q n + TQ n

Q0n+ 1 = A ? Q0n

Q1n+ 1 = ( AQ0n ) ? Q1n

(3)

Q0n+ 1 = A ? Q0n

Q1n+ 1 = ( AQ0n ) ? Q1n

Y =A Q1Q0

Q1n Q 0n

Q1n+1 Q 0n+1 / Y
A=0

A=1

00

00/0

01/0

01

01/0

10/0

10

10/0

11/0

11

11/0

00/1

(4)

n n
Q1 Q 0

Q1n+1 Q 0n+1 / Y

Q1Q0 A/Y
0/0

0/0
00

A=0

A=1

00

00/0

01/0

01

01/0

10/0

10

10/0

11/0

11

11/0

00/1

1/0

1/0

1/1

11
0/0

01

1/0

10
0/0

(5)

Q1n Q 0n

Q1n+1 Q 0n+1

/Y

A=0

A=1

00

00/0

01/0

01

01/0

10/0

10

10/0

11/0

11

11/0

00/1

CP

Q0

Q1

(6)
A
A=0
A=1CP1
11Y 1CP00
Y
Q1Q0 A/Y
0/0

0/0
00

1/0

1/0

1/1

11
0/0

01

1/0

10
0/0

Q1

Q0
FF1

=1
Z

&

1J

=1

C1

1K 1

FF0
1J

=1

C1
1K 1
CP

(1)

JK (
)

(2)
:

Z = ( X Q1n ) Q0n

J = X ? Q n
1
0
K = 1
0

J1 = X ? Q0n

K1 = 1

JK

n +1
n
n
n
n
Q0

= J 0 Q0 + K 0 Q0 = ( X Q1 )Q0

Q1n+ 1 = J1 Q1n + K1Q1n = ( X ? Q0n )Q1n


3
Q n+ 1 = Q n Q n
1
0
X=0 0
Q n+ 1 = Q n Q n
0 1
1
Z =
( X Q n )Q n =
QQ
1

Q1n Q0n
0
0
1
1

0
1
0
1

Q1n+1 Q0n+1/Z
0
1
0
0

1/0
0/0
0/1
0/0

Q n+ 1 = ( X ? Q n )Q n
0
1
0

Q n+ 1 = ( X ? Q n )Q n
0
1
1

X=1

Z = ( X ? Q1n )Q0n Q1n Q0n

Q1n Q0n
0
0
1
1

0
1
0
1

Q1n+1 Q0n+1/Z
1
0
0
0

0
0
1
0

/
/
/
/

1
0
0
0

X=0X=1

Q1n Q0n
Q0n Q1n

CP
X
Q0
Q1
Z

5
40001
1011
X=0100011000
10Z=1
X=1110010010
00Z=1
3

3
&

1D
CP

C1

Q0

1D
C1

Q0

FF0

Q1

C1

Q1

FF1
Z0

Q2

1D

Q2

FF2
Z1

Z2

D
1:
Z0=Q0
Z1=Q1
Z2=Q2

D0 =

n n
Q1 Q 0

D1 = Q 0n
D 2 = Q1n

D0 =

D1 = Q 0n

Q n +1 = D

D 2 = Q1n

Q 0n + 1

= D0 =

n n
Q1 Q 0

n n
Q1 Q 0

Q1n + 1 = D1 = Q 0n
Q 2n + 1 = D 2 = Q1n

Q2nQ1nQ0n

Q 2n + 1 Q1n +1 Q 0n + 1

000
001
010
011
100
101
110
111

001
010
100
110
001
010
100
110

Q2nQ1nQ0n

000
001
010
011
100
101
110
111

Q 2n + 1 Q1n +1 Q 0n + 1

001
010
100
110
001
010
100
110

Q2Q1Q0

011

001

000

110

111

100

010

101

Q2Q1Q0

011

110

111

CP
Q0
Q1
Q2

TCP

001

000

100

010

101

Q
CP,3TCP

CP
001

000

Q0

TCP
011

110

100

010

Q1
Q2

111

Q2 Q1 Q0

101

Q0

Q1

1J
C1

FF2

FF1

1K
R

1J
C1

1J
C1

Q2

FF0

1K
R

1K
R
Rd
CP

1
K 0 = Q2n

Q0 n + 1 = J 0 Q0n + K 0Q0n = Q0n + Q2n

J1 =

Q2n

K1 =

Q1

J2 =

Q1n

K2 =

J0 = 1

Q0n

Q1n

n +1

= J1Q1 + K1Q1n = Q2nQ1n + Q0nQ1n

n +1

= J 2 Q2n + K 2Q2n = Q1n

Q2

Q0 n + 1 = Q0n + Q2n

2
3
CP
0
1
2
3
4
5

Q1n+ 1 = Q2nQ1n + Q0nQ1n


Q2 n+ 1 = Q1n

Q2 Q 1 Q 0
0
0
1
1
1
0

0
1
1
1
0
0

0
1
1
0
1
0

Q 2Q 1 Q 0
000

101

CP

4
5

011

Q0
Q1
Q2

111

110


.
:
1.
a)
b)
c)

CP

FF0
1D
C1

Q0
Q0

d)
2.
3.

FF1
1D
C1

Q1
Q1

&

CP0=CP
CP1=Q0 FF0Q001Q1

Q0

n +1

Q1

n +1

= D0 = Q0n CP01
= D1 = Q1

Q001

(4)

4
Q1Q0=00Z=1Q1Q0Z=0Z

Z1TCP 4TCP

(1)

(2)-----

(3)

2n-1<M2n

M:;n:

(4)
(5)
(6)

2 D8421 BCD
8421

CP

0
1
2
3
4
5
6
7
8
9

Q 3n Q 2n Q1n Q 0n Q 3n +1 Q 2n +1 Q1n +1 Q 0n +1
0
0
0
0
0
0
0
0
1
1

0
0
0
0
1
1
1
1
0
0

0
0
1
1
0
0
1
1
0
0

0
1
0
1
0
1
0
1
0
1

0
0
0
0
0
0
0
1
1
0

0
0
0
1
1
1
1
0
0
0

0
1
1
0
0
1
1
0
0
0

1
0
1
0
1
0
1
0
1
0

(2)

CP

0
1
2
3
4
5
6
7
8
9

Q 3n Q 2n Q1n Q 0n Q 3n +1 Q 2n +1 Q1n +1 Q 0n +1 D 3 D 2 D1 D 0
0
0
0
0
0
0
0
0
1
1

0
0
0
0
1
1
1
1
0
0

0
0
1
1
0
0
1
1
0
0

0
1
0
1
0
1
0
1
0
1

0
0
0
0
0
0
0
1
1
0

0
0
0
1
1
1
1
0
0
0

0
1
1
0
0
1
1
0
0
0

1
0
1
0
1
0
1
0
1
0

0
0
0
0
0
0
0
1
1
0

0
0
0
1
1
1
1
0
0
0

0
1
1
0
0
1
1
0
0
0

1
0
1
0
1
0
1
0
0
0

D3 D2 D1 D0


D3

D2

Q1

Q1

Q3

Q2

Q3

Q2

Q0

D3 =

Q 3n Q 0n
n

D1

Q0

Q3

0
n

Q0

D0

Q1
0

D 2 = Q 2n Q1n + Q 2n Q 0n + Q 2n Q1n Q 0n

+ Q 2n Q1n Q 0n

Q1

Q2

Q3

Q0

D1 = Q1n Q 0n + Q 3n Q1n Q 0n
n

Q2

D 0 = Q 0n

(3)
0000

0001

0010

0011
1011

1001

Q3 Q2 Q1 Q0

0100
1101

1000

0111

1111

1110

1010

0110

1100

0101

4
D 3 = Q 3n Q 0n + Q 2n Q1n Q 0n
D1 =

Q1n Q 0n

D 0 = Q 0n

+ Q 3n Q1n Q 0n

&

&
1

CP

D 2 = Q 2n Q1n + Q 2n Q 0n + Q 2n Q1n Q 0n

&
1

CP

FF2

QQ
3

C1

FF1

Q
Q2 FF

1D
C11D

Q1
Q FF

QQ22

Q1Q1

C1

3
Q3 FFFF

1D

R C1 1D
C1

1D

RC1 1D
C1

RESE
RESE

C1
1D

Q0
Q FF

1D

FF0

Q0 Q0

3: X

ZX110
Z10
: (1)
1.:
A

2.
a ;
b A1;
c A11;

d A110

A/Y

1/0

0/0

a
0/0

1/0

0/0

1/0
d

0/1

A=0

A=1

a/ 0

b/ 0

a/ 0

c/ 0

d/ 1

c/ 0

a/ 0

b/ 0

1/0

2.
0/0
0/0

1/0

A=0

A=1

a/ 0

b /0

a/ 0

c/0

a/1

c /0

b
1/0

0/1

1/0

a = 00b = 01c = 11
0/0

0/0
0/0

1/0

00

1/0

0/1

0/0

1/0
0/1

11

1/0

1/0

01

1/0
Q1n+1 Q0n+1 Y

Q1Q0

A=0

A=1

00

00 / 0

01 /0

CP

01

00 / 0

11 /0

11

00 / 1

11 /0

JK

5
J=X
K=1
J=X
K=0

J=1
K=X

Q1n

Q 0n

0
0
0

0
0
1

0
1
0

0
1
1

1
1
1

1
0
1

J=0
K=X

Q1n+1 Q0n+1 Y

Q1Q0

A=0

A=1

00

00 / 0

01 /0

01

00 / 0

11 /0

11

00 / 1

11 /0

n +1

Q1n +1 Q 0

0
0
0
1
0
1

0
1
0
1
0
1

0
0
0
0
1
0

J1

K1
J0

0
0
0
1

1
0

0
1

K0

1
0

Q0

n
Q1

Y = Q1 A

J1
0
n
Q1

Q0

n
Q1

J 1 = Q0 A

K =A
1

A
n
Q0

J0

K1

Q0

K0

Q0

J0 = A

Q1

Q1

K0 = A

6. ,

J =Q A

K =A

J =A

K =A

1J
1

CP

FF0
A

Y =Q A

Q0

&

FF1
1J

C1

C1

1K

1K

Q1

&


0/0
0/0

Q1 Q=0 10

A=0

Y =1

1/0

01
1/0

0/1

Q Q = 00
1

00

0/1

11

10
1/1

A=1

Q Q = 11
1

=Q A
1

Y =0

Y = QQ A
1

1/0

=Q A
1

Y = QQ A
1

FF0
1J

A
1

CP

Q0

&

FF1
1J

C1

C1

1K

1K

Q1

&

4101X
ZX
101Z=1Z=0X101
1
1X=01010110100
Z=00010000100

1101

1

S0 1
S1 1
S2 10

2
2
00011011S0=00S1=01S2=10

Z = XQ 2

D 2 = XQ1

D1 = X Q

n
2

6101

Vous aimerez peut-être aussi