Académique Documents
Professionnel Documents
Culture Documents
TP Fpga
TP Fpga
Le schéma de principe du système exploité par la maquette est donné par la figure suivante :
La carte FPGA est connectée à un ordinateur hôte à l'aide d'un blaster USB intégré. Les entrées
sorties de matérielles utilisées dans la maquette sont configurées comme suit :
1
Figure 2 : Schéma de principe de la maquette de mise en œuvre de la carte FPGA
Etape 1 :
Accès au Lien url de la plateforme :
http://labad.labisefc.uvt.tn/Account/Login?ReturnUrl=%2F
Etape 3 :
Cliquer sur Make My Reservation ( pour réserver le poste)
Etape 4 :
Cliquer sur Connect To Remote Lab
3
Un fichier rdp sera téléchargé automatiquement
Etape 5 :
Ouvrir le fichier téléchargé et saisir le même mot de passe identique à l’étape 1 et valider par un
appui sur OK.
4
Après connexion, le bureau du poste connecté à la maquette sera à votre disposition.
1- A partir de la barre des tâches, Ouvrir l’application caméra pour visualiser la maquette:
4- Si la vue caméra est un peu sombre, vous pouvez allumer une lampe ( en mettant D7 = 0)
5
5- Ouvrir le logiciel Quartus (raccourci sur le bureau) :
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
--Entity
ENTITY TESTADD IS
PORT (A, B, Cin : IN std_logic;
S, Cout : OUT std_logic);
END TESTADD;
--Architecture
ARCHITECTURE behavior OF TESTADD IS
BEGIN
S <= A XOR B XOR Cin;
Cout <= (A AND B) OR (A AND Cin) OR (B AND Cin);
END behavior;
Lors de l’étape d’assignation des broches (Assign Package Pins), connecter les ports de
l’additionneur complet aux broches de la carte de développement FPGA comme suit:
6
• Les entrées « A », « B » et « Cin » aux interrupteurs Pin_C9, Pin_E11 et Pin_C11
respectivement.
• Les sorties « S » et « Cout » aux Leds Pin_T12 et Pin_T11.
e) Télécharger le programme
7
7- Vérification du programme :
1) Fermer toutes les applications ouvertes sur le poste de travail (vue caméra, Waveforms
et quartus).
2) Se déconnecter du poste distant (allez au menu Démarrer/utilisateur/Se déconnecter).
8
3) Se déconnecter de l’interface du serveur : Mettre Log OFF ( pour libérer le poste)