Vous êtes sur la page 1sur 15

El desarrollo de los circuitos digitales, especialmente con la aparicin de los microprocesadores, ha impulsado la sustitucin de muchas tcnicas analgicas por

otras digitales. Sin embargo, las magnitudes que se obtienen del mundo en que vivimos son parmetros fsicos como la temperatura, la presin, etc. , que vienen determinados por seales analgicas, mientras que en nuestros circuitos estn procesadas por seales digitales. De ah la necesidad de tener unos circuitos que nos permitan esta transformacin de seales. Conversores analgicos / digitales. Los conceptos de analgico y digital se conocen desde hace bastantes aos. Como ya hemos mencionado, la mayora de la informacin existente es la analgica, lo que significa que sufre una variacin continua en amplitud a lo largo del tiempo. No ocurre lo mismo con la informacin digital, ya que es bastante difcil encontrarla en la naturaleza y, posiblemente los pocos pasos que encontremos pueden llevar a confusin. Sin embargo, podemos poner como ejemplos lleno y vaco, vida y muerte, que son valores perfectamente diferenciados y solo admiten un numero finito de estados en un intervalo finito de tiempo. Siguiendo con los ejemplos de diferenciacin entre lo analgico y lo digital, podemos mencionar los relojes que, como bien sabemos, pueden pertenecer a una u otra familia. As, los analgicos se caracterizan por su capacidad de reflejar los infinitos valores del variable tiempo en su recorrido. De manera que para un intervalo finito de tiempo l numero de valores que se han visualizado es infinito. Los relojes digitales nos darn un numero determinado de valores que, dependiendo de la precisin del reloj, ser diferente. Si por ejemplo, nicamente tiene horas y minutos en un intervalo de sesenta segundos, solo nos visualizara dos valores: el anterior y el siguiente a este. Si, por el contrario, el reloj tuviera tambin segundos en el mismo intervalo que el anterior, nos mostrara 61 valores. De este modo comprobamos que, segn la precisin del reloj, tendremos mas o menos cantidad de valores visualizados. Pero, de lo que s estamos seguros es de que los relojes digitales siempre tendrn un numero finito de valores en un intervalo de tiempo completo. Por lo tanto, la informacin digital es una forma practica de reflejar el comportamiento de la naturaleza de una manera sencilla. El proceso de lo que s estamos seguros, es de los relojes digitales siempre tendrn un numero finito de valores en un intervalo de tiempo completo. Por lo tanto, la informacin digital es una forma practica de reflejar el comportamiento de la naturaleza de una manera sencilla. El proceso de extraes la informacin digital de la analgica es la denominada conversin analgico / digital. Y como va a ser una informacin digitalizada vendr expresada con 0 y 1, ya que los encargados de tratarla sern los microprocesadores de un ordenador. La conversin analgico / digital viene ya de tiempos remotos, aunque no entendida como lo hacemos actualmente; por ejemplo, cuando nos pregunta el medico como nos encontramos, siempre espera una respuesta que se ajusta a tres contestaciones: bien , mal o regular. Trasladando este sencillo ejemplo a la electrnica , podemos definir una variable X , que es el estado de nuestra salud en ese instante , el cual , dentro de nuestro esquema de convertidor analgico / digital , se denominara muestreo . A continuacin , nosotros decidimos en cual de las tres respuestas nos encontramos en ese instante , que en el convertidor ser la llamada cuantificacin. Finalmente , asignamos el valor antes considerado al nivel que mas se aproxima : bien , mal , o regular , siendo esta la llamada codificacin. El funcionamiento de la conversin analgico / digital estriba en que la informacin analgica no es directamente manipulable , ni procesable , mediante sistemas digitales o a travs de un ordenador , pero si lo son las seales digitales que pueden almacenarse indefinidamente y , mas aun , pueden incluso reproducir la seal analgica sin error apreciable . Como ejemplo mas destacable en la actualidad , es la tcnica de grabacin digital , donde la seal analgica que es la voz , en un proceso previo , ser sometida a muestreo y transformada en lenguaje binario. Los unos y ceros que se obtienen en esta

accin sern los que , posteriormente , se grabaran sobre un disco compacto ( compac-disc ) esto gracias a la tecnologa lser , podrn ser reproducidos con una calidad de sonido increblemente igual a la original. En resumen , aunque existe gran diversidad de tipos o familias de conversores analgicos / digitales y todos ellos han de cumplir las tres fases citadas anteriormente :

Muestreo. Cuantificacin. Codificacin.

Clasificacin de conversores A/D. La conversin analgico / digital se puede dividir en dos grandes grupos :

De bucle abierto. De realimentacin.

El convertidor de bucle abierto genera un cdigo digital directamente bajo la aplicacin de una tensin en la entrada . Dentro de esta familia , podemos distinguir los siguientes tipos :

Analgico a frecuencia. Analgico a anchura de impulso. Conversin en cascada.

El convertidor de realimentacin, sin embargo, genera una secuencia de nmeros digitales, los convierte en un valor analgico y los compara con la entrada. La salida digital resultante ser el valor ms cercano al hacer la comparacin. En este grupo , los tipos mas importantes son :

Rampa de diente de sierra. Rampa binaria. Conteo continuo. Aproximaciones sucesivas. Conversin no lineal. Doble rampa.

Caractersticas de los convertidores A/D. Las principales caractersticas que podemos encontrar a la hora de seleccionar un convertidor son las siguientes:

Resolucin. Lineabilidad. Precisin.

Impedancia. Sensibilidad.

Sin embargo , caben destacar otras no mencionadas :

El error de cuantificacin: Este aparece como consecuencia de que un convertidor la continuidad de la seal analgica es dividida en una potencia de dos. De esta manera, todos los valores analgicos dentro de un rango estn representados por lo nico digital, normalmente asignado al valor medio del mismo. Tiempo de conversin : Es el tiempo requerido por el conversor para entregar la palabra digital equivalente a la entrada analgica .

Familias de convertidores analgico / digitales. Existe una gran variedad de conversores analgico / digitales y, por consiguiente, dependiendo del grado de exactitud que requiera nuestro circuito, se utilizaran unos u otros. Antes de analizar los distintos tipos de convertidores A/D, recordaremos las diversas fases de su funcionamiento, as como cual era su misin dentro del circuito integrado. Las fases principales de un convertidor son tres:

Muestreo. Cuantificacin. Monitorizacin.

El muestreo se realiza a intervalos de tiempos determinados, extrayndose los valores de la seal estudiada. La frecuencia de este se determina segn el teorema de Shannon que lo fija en, al menos, el doble de la frecuencia a reconstruir. La funcin de muestreo es desarrollada por circuitos de captura y mantenimiento, cuya funcin consiste en extraer un valor de la seal analgica y mantenerlo hasta que ha finalizado la conversin de la muestra. Entre estos circuitos y el convertidor A/D se requiere un sincronismo que puede conseguirse mediante una seal que enva este ultimo, avisando a los circuitos de captura de que ha finalizado y puede tomar otra muestra. La fase de cuantificacin consiste en la asignacin, al valor de la muestra, de otro discreto e idntico para el conjunto de aquellos valores de la seal original que se encuentran dentro de un intervalo concreto. Si tenemos un numero de intervalos reducido, el error puede ser bastante apreciable. De manera que, a medida que aumentemos el numero de estos, el error ira disminuyendo hasta incluso desaparacer. Por lo cual, tendremos que saber elegir la relacin adecuada entre el manejo de los datos y el error producido. As que a mas intervalos menos error, aunque necesitaremos mas precisin y ello, directa o indirectamente, repercutir en el tiempo de conversin, la capacidad de almacenamiento y el coste. La fase de codificacin se encargar de asignar un numero binario al valor obtenido en el proceso de cuantificacin. El numero de dgitos necesarios para un numero determinado de niveles ser tal que el numero de intervalos resulte menor que la potencia de 2 correspondiente al numero de dgitos. Por ultimo, y aunque no se considere como fase principal de la conversin analgico-digital tenemos la operacin de almacenamiento. En esta, el dato codificado se guardara en un dispositivo de memoria junto al resto de las muestras, compilada previamente y de una manera ordenada.

Hasta aqu hemos analizado cada una de las tres fases de funcionamiento de un convertidor A/D pero, como hemos mencionado anteriormente, existe una gran variedad de estos dispositivos. Las razones que nos llevan a seleccionar uno u otro son principalmente tres: economicas, tiempo de respuesta y requerimientos de almacenamiento. Con respecto a las razones econmicas, resulta obvio que cuanto mayor sea el numero de componentes que lleva el convertidor mas se encarecer el circuito integrado. Basndonos en estas tres presimas, analizaremos algunas de las familias de convertidores A/D. Convertidor con comparadores. Nos encontramos ante el nico caso en que los procesos de cuantificacin y descodificacin aparecen claramente separados. El primer caso se lleva a cabo mediante comparadores que discriminan entre un numero finito de niveles de tensin. Estos comparadores reciben en sus entradas la seal analgica de entrada, junto con una tensin de referencia distinta para cada una de ellos. Al estar las tensiones de referencia escalonadas, es posible conocer si la seal de entrada se halla por encima o por debajo de cada una de ellas, lo cual permitir saber el estado que le corresponde como resultado de la cuantificacin. A continuacin, necesitaremos un codificador que nos entregue la seal digital. Asimismo, cabe sealar que se trata de un convertidor de alta velocidad, ya que el proceso de conversin es directo. Sin embargo su utilidad queda reducida en los casos de baja resolucin, pues se necesitan bastantes comparadores, lo que lleva a encarecer el circuito si se desea obtener una resolucin alta. Convertidor a anchura de impulso. Este convertidor transforma la tensin desconocida en un intervalo de tiempo que es medido mediante un reloj y un contador. Al recibir por la entrada de control la orden de iniciar la conversin, el circuito comienza la generacin de una rampa y pone a 1 la salida del biestable. Este nivel se mantiene hasta que la rampa supere el valor en la entrada analgica, instante en que la salida del biestable volver a 0 y el contador dejara de contar los impulsos de frecuencia fija del reloj. De manera que, al ser la duracin del impulso en la salida del biestable funcin de la tensin de entrada, las salidas del contador sern una representacin digital de la misma. Las limitaciones de este convertidor son varias: Falta de linealidad del generador de rampa, lo cual hace que la duracin del impulso no sea la adecuada. El tiempo de conversin no es fijo sino que esta en funcin de la entrada analgica. Convertidor de doble rampa. Algunas limitaciones mencionadas anteriormente se pueden evitar con este convertidor. Es uno de los mas utilizados en la practica, especialmente en el caso de aplicaciones que requieran gran precisin. La base de funcionamiento de este circuito es tambin un integrador. El proceso de conversin se inicia conectando la tensin de entrada al integrador durante un tiempo fijo, en el cual la salida del integrador se va haciendo negativa hasta alcanzar un valor mnimo en el instante en que termina ese tiempo fijo; momento en que la informacin de desbordamiento ( overflow), aplicada al circuito de exitacion del conmutador, provoca la aplicacin de una tensin de referencia a la entrada dl integrador, lo que hace que la salida de este tienda a 0 voltios. Durante el intervalo en que se mantiene constante la pendiente de la rampa son contados de nuevo los impulsos del reloj, y la cuenta que se alcance en el instante de cruce por 0 de la salida del integrador es el numero digital equivalente buscado. Como caracterstica ms importante de este convertidor podemos destacar la precisin, gracias a al independencia de su salida respecto a la estabilidad del valor del condensador o de la frecuencia del reloj, con tal de que se mantengan constantes durante el proceso de conversin; Por lo cual, la precisin solo ser funcin de la linealidad de las rampas que entregue el integrador y de la precisin de la referencia.

Finalmente, y como desventaja, cabe mencionar la necesidad de un tiempo de conversin muy largo y variable.

El convertidor A/D:
En la automatizacin e instrumentacin industrial, se producen seales analgicas que varan constantemente, con variaciones que pueden ser muy rpidas o lentas. Estas seales no son fciles de tratar, como sumar almacenar, comparar etc. Por lo que se recurre a estos dispositivos en circuito integrado. Realizan el paso de seales analgicas a digitales asignando a cada nivel de tensin un nmero digital para ser utilizado por el sistema de procesamiento. Las caractersticas fundamentales de un convertidor AD son la precisin y la velocidad. En el mbito industrial son bastante comunes los conversores de 4, 8, 10 y 12 bits aunque la tendencia es a convertidores de mayor precisin (14 16 bits). La velocidad de conversin depende de las necesidades de la aplicacin pero hay que tener en cuenta que est en contraposicin con la precisin. Por ltimo, un factor a tener en cuenta en la eleccin de un convertidor AD es la tecnologa utilizada (aproximacin sucesiva, Flash, Pipeline, Sigma-Delta) que depender de las necesidades de precisin y velocidad. CONVERTIDOR ANALGICO A DIGITAL .Los convertidores de este tipo se representan en un grafico de, voltaje de entrada analgica Vs. Palabra en la salida digital, y esta palabra depender del numero de bits del convertidor.

SMBOLO DE UN ADC 4 Bits.

V entrada Analgico

D3

D2

D1

D0

Conociendo el numero de Bits, se puede encontrar el numero mximo de palabras diferentes que puede proporciona la salida digital. La Resolucin es entonces = 2

Donde a = numero de bits. As que para un convertidor de 4 Bits en la salida a = 4 : Y sern 16 diferentes palabras incluyendo el cero.
Nota: Es el nmero de bits que tiene la palabra de salida del convertidor, y por tanto es el nmero 8 de pasos que admite el convertidor. As un convertidor de 8 bits slo podr dar a la salida 2 = 256 valores posibles.

Existe otra resolucin que se define como la razn de cambio del valor en el voltaje de entrada, Vent. Que se requiere para cambiar en 1LSB la salida digital. Esto es cuando se conoce el valor de Vin, a escala completa. El voltaje de entrada a escala completa Vin, es proporcionado por el fabricante en sus hojas de especificaciones. Entonces Vin es el valor mximo presente en la entrada anloga, para proporcionar UNOS lgicos en todas las salidas de Bit digitales. Vin Resolucin = 2 - 1 Restando solo la manera de encontrar una ecuacin de entrada salida, para facilitar rpidamente la palabra digital, incluso en forma decimal, que entrega el convertidor. Vent D= Resolucin Vent = voltaje anlogo presente en el instante D = Valor decimal de la salida digital

Nota: La tensin de fondo de escala depende del tipo de convertidor, pero normalmente se fija a nuestro gusto, en forma de una tensin de referencia externa, (aunque en algunos casos, como el del convertidor ADC 0804 la tensin de fondo de escala es el doble de la tensin de referencia). Por ejemplo, un convertidor de 8 bits con una tensin de fondo de escala de 2V tendr una resolucin de:

2V mV 0.0784 28 1 paso
En cambio, para el mismo convertidor, si cambiamos la tensin de referencia, y por tanto la de fondo de escala, la resolucin ser de:

5V mV 0.019 28 1 Paso
EJEMPLO: Un convertidor analgico a digital de 4 bits, genera solamente unos cuando Vi = 2.55 V. Encuentre la resolucin en sus dos formas, y su salida digital cuando Vi = 1.28V a).- 2 = 16 salidas diferentes incluyendo 0000.
4

2.55V 10mV 4 LSB b).- Resolucin = 2 1


Vi 1.28V c).- Ecuacin D = Re solucin = 10mV / LSB = 128 LSB
CARACTERSTICAS BASICAS. Impedancia de entrada Rango de entrada Nmero de bits Resolucin Tensin de fondo de escala Tiempo de conversin Error de conversin Tiempo de conversin: Es el tiempo que tarda en realizar una medida el convertidor en concreto, y depender de la tecnologa de medida empleada. Evidentemente nos da una cota mxima de la frecuencia de la seal a medir. Este tiempo se mide como el transcurrido desde que el convertidor recibe una seal de inicio de conversin (normalmente llamada SOC, Start of Conversin) hasta que en la salida aparece un dato vlido. Para que tengamos constancia de un dato vlido tenemos dos caminos: Esperar el tiempo de conversin mximo que aparece en la hoja de caractersticas. Esperar a que el convertidor nos enve una seal de fin de conversin. Si no respetamos el tiempo de conversin, en la salida tendremos un valor, que dependiendo de la constitucin del convertidor ser: Un valor aleatorio, como consecuencia de la conversin en curso El resultado de la ltima conversin

OTROS MTODOS DE CONVERSIN A/D :

Existen varios mtodos de conversin A/D cada uno con sus ventajas y sus desventajas, a continuacin se describen algunos de ellos.

ADC de rampa digital ascendente / descendente (ADC de seguimiento) : El convertidor ADC de rampa digital es relativamente lento debido a que el contador tiene que volver a ponerse en cero al inicio de cada conversin. Utiliza un contador ascendente / descendente para reducir este tiempo desperdiciado, el contador reemplaza al contador ascendente que proporciona las entradas al DAC. ADC de voltaje a frecuencia : Es ms sencillo que los otros ADC, debido a que no hace uno de un DAC. En lugar de ste se emplea un oscilador lineal controlado por voltaje que produce como salida una frecuencia que es proporcional al voltaje aplicado en su entrada. Una de las principales aplicaciones de este tipo de convertidor se encuentra en ambientes industriales con mucho ruido y donde se tienen que transmitir seales analgicas de poca amplitud desde los circuitos transductores hacia la computadora de control. ADC de doble pendiente : Es uno de los que tienen mayor tiempo de conversin, pero ofrece la ventaja de un costo relativamente bajo ya que no requiere de componentes de precisin tales como un DAC o un VCO. La operacin bsica de este convertidor se apoya en la carga y descarga, ambas en forma lineal, de un capacitor mediante el uso de corrientes de valor constante. Otra ventaja es su baja sensitividad al ruido y las variaciones en los valores de sus componentes causados por los cambios de temperatura.

CONVERTIDOR ANALGICO-DIGITAL. A/D


La conversin analgica a digital tiene su fundamento terico en el teorema del muestreo y en los conceptos de cuantificacin y codificacin. Una primera clasificacin de los convertidores A/D, es la siguiente: - a) Convertidores de transformacin directa. - b) Convertidores con transformacin D/A intermedia, auxiliar.

CONVERTIDOR A/D DE COMPARADOR EN PARALELO. Pertenece al grupo de convertidores de transformacin directa. Es probablemente, el de ms fcil compresin, pues consiste bsicamente en detectar cuando una determinada tensin de entrada pasa por unos

controles comparadores previamente establecidos, su esquema puede verse en la este esquema.

Cuando la seal analgica de entrada Vi exceda a la tensin de referencia de cualquier comparador, ste reflejar en su salida dicho cambio. Un convertidor A/D de dos bits puede ser el anterior circuito: Este circuito lgico responde a la tabla 1. NIVEL 0-1/4 V. 1/4-1/2 V. 1/2-3/4 V. 3/4-1 V. C1 0 1 1 1 C2 0 0 1 1 C3 0 0 0 1 21 0 0 1 1 20 0 1 0 1

El circuito lgico del convertidor A/D de dos bits de la figura anterior y que cumple la tabla 1, puede ser algn codificador con prioridad de mercado como, por ejemplo, el 9318, que puede verse en este otro circuito. Este tipo de convertidor es el ms rpido, alcanzando los tiempos de conversin el orden de nanosegundos. La comparacin la realiza de

forma simultnea y no secuencial. Por el contrario el principal inconveniente de este convertidor es el gran nmero de comparadores que se requiere, cuando aumenta el nmero de bits en la salida digital.

CONVERTIDORES A/D CON INTEGRADOR.


Este tipo de convertidores son ms sencillos que los anteriores ya que no utilizan convertidores intermedios D/A. Se emplean en aquellos casos en los que no se requiere una gran velocidad, pero en los que es importante conseguir una buena linealidad. Son muy usados en los voltmetros digitales. Se les conoce tambin con el nombre de convertidores de rampa. En la figura, se representa el diagrama de bloques de un convertidor de rampa simple.

Inicialmente el contador est a cero y el circuito de control tiene inhibido el paso de impulsos al contador. Cuando se aplica una se aplica una seal de inicio (start), el circuito de control dar paso a la seal de reloj hacia el contador y al mismo tiempo ir generando la rampa que se comparar con la seal de entrada de tal forma que, cuando esta seal se iguale a la tensin de entrada Vi, bloquear el paso de la seal de reloj al contador, correspondindose la combinacin digital que aparece en la salida del contador con la tensin analgica de entrada. Un inconveniente del convertidor A/D de rampa simple como el de la figura, es su dependencia de la linealidad de la rampa, y en consecuencia, de los componentes que integran el circuito generador de rampa (circuito integrador formado, por condensador y resistencia). Este problema se resuelve con el convertidor de doble rampa que se indica en el siguiente esquema donde la precisin es muy notable.

En este tipo de convertidor hay dos fases: la primera, que consiste en determinar la rampa para Vi en la entrada, en un tiempo fijo; la segunda, el tiempo que tarda, con pendiente fija y tensin de referencia conocida, VREF, en pasar del valor mximo de la anterior fase a cero figura B). El ciclo de conversin se inicia con la rampa y contadores a cero y el conmutador electrnico en la entrada analgica Vi. La rampa se genera hasta un punto mximo Vx que vendr dado por el nivel de tensin de entrada Vi y siempre en un mismo tiempo t1

Vx = -(Vi / RC).t1 Cuando el detector de cuenta incorporado en el contador detecta que concurre el tiempo predeterminado t1, la unidad de control borra dicho contador y conmuta la entrada a la tensin de referencia VREF. Ahora el integrador generar un rampa desde - Vx a cero, durante un tiempo t2 que ser contabilizado por el contador. Vx = (Vref / RC).t2. La conversin termina cuando Vx es cero, ya que a travs del comparador, bloquear la puerta del reloj. La combinacin del contador

se corresponder con el equivalente digital a la tensin analgica de entrada.

CONVERTIDOR DE APROXIMACIONES SUCESIVAS. Este tipo de convertidor es el ms utilizado cuando se requieren velocidades de conversin entre medias y altas del orden de algunos microsegundos a dcimas de microsegundos.

El diagrama de bloque puede verse en la anterior figura, es muy parecido al convertidor anterior, en el que se ha cambiado el contador y el circuito de control, por un sistema de conteo por aproximaciones sucesivas, que bsicamente, est formado por un registro de desplazamiento de n bits

controlados por un circuito digital. Estos circuitos suelen suministrarlos los fabricantes de Circuitos Integrados.

El proceso de conversin para este tipo de convertidores se basa en la realizacin de comparaciones sucesivas de manera descendente o ascendente, hasta que se encuentra la combinacin que iguala la tensin entregada por el D/A y la de entrada. Como el arranque parte siempre de cero, el registro de aproximaciones sucesivas, comienza poniendo a 1 el bit de mas peso (MSB), quedando el resto a cero, o sea, forma el valor 100 (para este ejemplo se utilizarn slo tres bits), que corresponde a la mitad de la mxima excursin de la tensin de entrad. Este valor es transformado a seal analgica, que a su vez se introduce en el comparador. Si esta seal es mayor que Vi, el comparador bascula dando lugar a una seal que hace que el registro vare su contenido, sustituyendo el 1 del bit de ms peso por un 0 y colocando en el bit de peso inmediatamente inferior un 1, quedando inalterado el resto de los bits (010).

Por el contrario si la seal fuese menor que Vi, el registro no modifica el bit de ms peso inmediatamente inferior a 1, dejado a 0 el resto de los bits (110).

Tanto en un caso como en otro, se efecta una nueva conversin D/A y luego se modifica el registro con el mismo criterio. El proceso se repite hasta alcanzar el bit de menos peso (LSB).

En el esquema siguiente, se muestra el diagrama de transiciones para 3 bits donde se indica el proceso de bsqueda de la combinacin digital. El proceso se repetir n veces, siendo n el nmero de bits del registro de aproximaciones sucesivas. Por lo tanto el tiempo empleado en la

conversin es independiente del valor de la seal analgica de entrada. El tiempo de conversin de este tipo de convertidores es mucho menor que el anterior.

CONVERTIDOR POR CUENTA CONTINUA. Teniendo como base el convertidor por contador, bastara con evitar poner a cero el contador para cada conversin de analgico a digital, para acelerar el tiempo en dicha conversin. Bastara con aadir un contador reversible y un circuito lgico que detecte el sentido de conteo: descendente o ascendente (UP/DOWN). El contador en este caso comenzara la cuenta desde la ltima conversin. A este tipo de convertidor se le denomina por cuenta continua y su diagrama de bloque se representa en el esquema siguiente.

Este convertidor, cuando la seal analgica procedente de la entrada V i es superior a la generada por el D/A, como consecuencia de la combinacin binaria a su entrada, hace que el comparador habilite la cuenta ascendente, hasta que ambas entradas en el comparador vuelvan a igualarse y para el conteo. Por el contrario, si V i es inferior a la tensin suministrada por el D/A, el conteo ser de forma descendente, hasta igualar nuevamente la tensin de entrada Vi. En definitiva, el contador siempre seguir a cualquier variacin de la tensin analgica a convertir, a

partir de la ltima conversin realizada. El clculo del tiempo de conversin depender de la distancia a recorrer por el contador.

Vous aimerez peut-être aussi