Vous êtes sur la page 1sur 12

INSTITUTO TECNOLGICO SUPERIOR DE LERDO

Prctica 1: Registros integrados

Cuarto corte Datos del equipo Integrantes: Erick Rodrguez Eduardo Navarro Snchez Carlos Badillo Alonso Gerardo Martnez Morn Misael Llanas Snchez Grupo: Carrera: Materia: Titular de la materia:

10231006 10231240 10231323 10231581 10231615 3B Ingeniera en Informtica Sistemas electrnicos para Informtica M.C. Luis Enrique Zapata Valenzuela
Cd. Lerdo, Durango. 3 de Febrero 2012

[REPORTE DE PRCTICA] 2 de diciembre de 2011

Objetivo
Aplicar los conocimientos adquiridos durante el desarrollo del presente curso de Electrnica analgica y digital para la elaboracin de un termmetro analgico-digital.

Material

Cantidad 1 1 3 1 2 2 1 3 2 3 1 1 1 1m

Material Sensor de temperatura LM35 Amplificador operacional LM741 Potencimetros Convertidor CAD0804 Capacitores de 150 pF y 100 nF Decodificadores 74LS48 (ctodo comn) Memoria EPROM Displays ctodo comn Compuerta AND (74LS08) Resistencias de 220 Resistencias de 10 Tablilla de pruebas (Protoboard) Fuente de voltaje bipolar Cable de red

Instituto Tecnolgico Superior de Lerdo | M.C. Luis Enrique Zapata Valenzuela

[REPORTE DE PRCTICA] 2 de diciembre de 2011

Desarrollo
1. En una primera instancia se debe revisar la configuracin de cada uno de los integrados que se utilizarn en la elaboracin del presente proyecto. Circuito Caractersticas Voltaje de alimentacin mnimo: 4.75 V Voltaje de alimentacin mximo: 12 V Esquema

LM35 Sensor de temperatura

+/- 18 V LM741 Amplificador operacional

CAD0804 Convertidor Analgico- Digital

Voltaje de alimentacin mnimo: 4.75 V Voltaje de alimentacin mximo: 5.25 V

74LS48 Decodificadores (ctodo comn)

Voltaje de alimentacin mnimo: 4.75 V Voltaje de alimentacin mximo: 5.25 V

Instituto Tecnolgico Superior de Lerdo | M.C. Luis Enrique Zapata Valenzuela

[REPORTE DE PRCTICA] 2 de diciembre de 2011 5V +/- 10%

AM27C256 Memoria EPROM

74LS08 - AND

Voltaje de alimentacin mnimo: 4.75 V Voltaje de alimentacin mximo: 5.25 V

Armado del circuito en base a bloques 2. Para poder llevar a cabo la construccin del termmetro analgico digital es necesario considerar el siguiente diagrama de bloque y posteriormente armar bloque por bloque.

Deteccin de temperatura Sensor LM35

Amplificacin de la seal arreglo amplificador no inversor

Convertidor analgico - digital

Convertidor binario a BCD Memoria EPROM

Decodificador

Decodificador Displays

Arreglo combinacional

Diagrama general en bloques del termmetro Instituto Tecnolgico Superior de Lerdo | M.C. Luis Enrique Zapata Valenzuela 4

[REPORTE DE PRCTICA] 2 de diciembre de 2011

Bloque de deteccin y amplificacin Marco terico Amplificador operacional (LM741): es un circuito electrnico (normalmente se presenta como circuito integrado) el cual permite la amplificacin de seales analgicas. Este integrado se puede implementar en un arreglo de amplificador inversor o amplificador no inversor, cuya principal diferencia radica en que en el primero se cambia el signo de la entrada, mientras que en el segundo se respeta. El LM35 es un sensor de temperatura con una precisin calibrada de 1C y un rango que abarca desde -55 a +150C. El sensor se presenta en diferentes encapsulados pero el ms comn es el to-92 de igual forma que un tpico transistor con 3 patas, dos de ellas para alimentarlo y la tercera nos entrega un valor de tensin proporcional a la temperatura medida por el dispositivo. La salida es lineal y equivale a 10mV por cada 1 C que detecte. Desarrollo a) En primer lugar, es necesario armar el bloque en el cual se detecte la temperatura del ambiente y el bloque en el que la seal recibida por el sensor sea amplificada 5 veces, con la finalidad de que dicha seal pueda ser interpretada y pasada a cdigo binario por el convertidor A-D, cuyo rango de voltaje de entrada es de 0 a 5 v. Para lograr una amplificacin de 5 veces, se implement un amplificador no inversor, el cual se muestra en el siguiente diagrama.
Rf = 40 k Ri = 10 k 2 + 12 v 7 6 Salida del amplificador 4 - 12 v Sensor LM35 Bloque de deteccin y amplificacin Instituto Tecnolgico Superior de Lerdo | M.C. Luis Enrique Zapata Valenzuela 5

3 +

[REPORTE DE PRCTICA] 2 de diciembre de 2011 Para obtener los valores de las resistencias en dicho arreglo, se utiliz el siguiente procedimiento. En primera instancia se debe establecer que el sensor de temperatura arroja una salida de 10 mV por cada grado centgrado que detecta, de manera que al detectar una temperatura de 100 C arroja un voltaje 1 V. Esto indica que el rango del sensor es de 0 V a 1 V, mientras que el rango del CAD es de 0 V a 5 V, por lo que es necesaria amplificar 5 veces la seal. Para obtener el valor de las resistencias, se aplic la frmula del amplificador no inversor: ( Si se toma a , se obtiene: ( ) )

Por lo que se ajustan dos potencimetros con una resistencia de 10 y 40 .

Bloque de conversin de la seal analgica a digital Marco terico Un converso r(o convertidor) analgico-digital (CAD), (o tambin ADC del ingls "Analog-to-Digital Converter") es un dispositivo electrnico capaz de convertir una entrada analgica de voltaje en un valor binario, Se utiliza en equipos electrnicos como ordenadores, grabadores de sonido y de vdeo, y equipos de telecomunicaciones. La seal analgica, que vara de forma continua en el tiempo, se conecta a la entrada del dispositivo y se somete a un muestreo a una velocidad fija, obtenindose as una seal digital a la salida del mismo. Desarrollo b) Una vez que se terminan los dos primeros bloques, se procede a conectar la salida del amplificador no invasor al CAD, con la finalidad de que la seal analgica sea convertida en una seal digital, es decir una sucesin de ceros y unos.
Instituto Tecnolgico Superior de Lerdo | M.C. Luis Enrique Zapata Valenzuela 6

[REPORTE DE PRCTICA] 2 de diciembre de 2011

Para poder implementar el CAD de manera correcta, es importante realizar un pequeo arreglo, como se muestra en el siguiente dibujo.

Esquema del Convertidor Analgico Digital

Es importante hacer notar que en la terminal 6 se conectar la salida del amplificador y las salidas del mismo van hacia la memoria EPROM. En este esquema se colocaron hacia una barra de LEDs nicamente para comprobar el correcto funcionamiento del arreglo.

Bloque de conversin de binario a BCD Marco terico

EPROM son las siglas de Erasable Programmable Read-Only Memory (ROM programable borrable). Es un tipo de chip de memoria ROM no voltil inventado por el ingeniero Dov Frohman. Est formada por celdas de FAMOS (Floating Gate Avalanche-Injection Metal-Oxide Semiconductor) o "transistores de puerta flotante", cada uno de los cuales viene de fbrica sin carga, por lo que son ledos como 1 (por eso,
Instituto Tecnolgico Superior de Lerdo | M.C. Luis Enrique Zapata Valenzuela 7

[REPORTE DE PRCTICA] 2 de diciembre de 2011 una EPROM sin grabar se lee como FF en todas sus celdas). Se programan mediante un dispositivo electrnico que proporciona voltajes superiores a los normalmente utilizados en los circuitos electrnicos. Las celdas que reciben carga se leen entonces como un 0. Una vez programada, una EPROM se puede borrar solamente mediante exposicin a una fuerte luz ultravioleta. Desarrollo c) Enseguida del anterior bloque se procede a realizar las conexiones entre las salidas de este y las entradas de la memoria EPROM. Este tipo de memoria ser la encargada de direccionar las seales que reciba, convirtindolas en el cdigo BCD correspondiente a la temperatura que se marque. Para lograr esto, es importante grabar en la memoria la tabla que se muestra a continuacin. ADDRESS 00000000 00000001 00000002 00000003 00000004 00000005 00000006 00000007 00000008 00000009 0000000A 0000000B 0000000C 0000000D 0000000E 0000000F 0 00 06 13 19 25 32 38 45 51 60 66 73 79 84 90 95 1 00 07 13 19 26 32 39 45 52 60 66 73 79 85 90 95 2 01 07 13 20 26 33 39 45 52 60 67 74 80 85 90 95 3 01 07 14 20 27 33 39 46 52 61 67 74 80 85 91 96 4 01 08 14 21 27 33 40 46 53 61 68 74 80 86 91 96 5 02 08 15 21 27 34 40 47 53 62 68 75 81 86 91 96 6 02 09 15 21 28 34 41 47 56 62 69 75 81 86 91 97 7 03 09 15 22 28 35 41 47 56 62 69 76 81 87 92 97 8 03 09 16 22 29 35 41 48 56 63 69 76 82 87 92 97 9 03 10 16 23 29 35 42 48 57 63 70 76 81 87 92 98 A 04 10 17 23 29 36 42 49 57 64 70 77 81 88 93 98 B 04 11 17 23 30 36 43 49 58 64 71 77 83 88 93 99 C 05 11 17 24 30 37 43 50 58 64 71 78 83 88 93 99 D 05 11 18 24 31 37 43 50 58 65 72 78 83 89 94 99 E 05 12 18 25 31 37 44 50 59 65 72 78 84 89 94 00 F 06 12 19 25 31 38 44 51 59 66 72 79 84 89 94 00

Tabla de direccionamiento

Bloque de conversin de binario a BCD Marco terico El decodificador es un dispositivo que acepta una entrada digital codificada en binario y activa una salida .Este dispositivo tiene varias salidas, y se activar aquella que establezca el cdigo aplicado a la entrada. Con un cdigo de n bits se pueden encontrar 2 n posibles combinaciones. Si se tienen 3 bits (3 entradas) sern posibles 23 = 8 combinaciones.
Instituto Tecnolgico Superior de Lerdo | M.C. Luis Enrique Zapata Valenzuela 8

[REPORTE DE PRCTICA] 2 de diciembre de 2011 Desarrollo d) Despus de grabar y conectar la memoria EPROM al CAD, se procede a conectar las salidas de la memoria hacia las entradas del Decodificador, considerando que: Las salidas de la memoria EPROM nos arrojar la temperatura correspondiente a la seal de entrada en cdigo BCD, en un rango del 0000 0000 (0 C) hasta el 1001 1001 (99 C). Las salidas de la EPROM se repartirn entre los dos Decodificadores, considerando que las salidas 00, 01, 02 y 03 (ver configuracin de la memoria en la pgina 4) irn hacia el Decodificador conectado al display de las unidades y las salidas 04, 05, 06 y 07 hacia el Decodificador conectado al display de las decenas.

Convertidor binario a BCD Memoria EPROM

Decodificador

Decodificador

Bloque de memoria y decodificadores

Bloque de arreglo combinacional Marco terico Un arreglo combinacional es un circuito que permite implementar una serie de funciones lgicas bsicas, considerando cada la tabla de verdad de cada operador lgico. La compuerta AND produce la multiplicacin lgica AND dada por la siguiente tabla de verdad:

Instituto Tecnolgico Superior de Lerdo | M.C. Luis Enrique Zapata Valenzuela

[REPORTE DE PRCTICA] 2 de diciembre de 2011 Como se puede observar solamente el resultado ser 1 cuando ambas compuertas lgicas sean 1. El smbolo de operacin algebraico de la funcin AND es el mismo que el smbolo de la multiplicacin de la aritmtica ordinaria (*). Las compuertas AND pueden tener ms de dos entradas y por definicin, la salida es 1 si todas las entradas son 1. Desarrollo e) Finalmente, es importante considerar que hasta esta parte del proyecto, se tiene un termmetro que maneja un rango de 0 C hasta 99 C. Sin embargo, si se desea manejar tambin los 100 C dentro de este rango, es necesario disear un arreglo combinacional que nos permita utilizar un tercer display que nos muestre un uno en las centenas. Para ello, utilizamos dos compuertas AND, las cuales tienen como entrada las 7 salidas ms significativas del CAD.

B DB1 DB2 DB3 DB4 DB5 DB6 DB7

AND
C

Explicacin: en base a la tabla anteriormente mostrada en el bloque de la memoria EPROM (pgina 8), se puede observar que para representar al cien se utilizaron las dos ltimas direcciones, correspondientes a las seales de entrada 254 y 255. Al observar ambos valores en su forma binaria, 11111110 y 11111111, se obtiene que los primero 7 bits son comunes en ambos, por lo que las salidas del CAD correspondientes a dichos valores deben ser conectados a una compuerta 7408, con la finalidad de que nicamente al llegar a las direcciones 254 y 255 se encienda los LEDS correspondientes al 1 en el display.

Instituto Tecnolgico Superior de Lerdo | M.C. Luis Enrique Zapata Valenzuela

10

[REPORTE DE PRCTICA] 2 de diciembre de 2011

Conclusin personal Erick Rodrguez

Gracias a la realizacin del presente proyecto pude obtener una visin general del presente curso de Sistemas Electrnicos para Informtica y obtener de manera puntual algunas conclusiones. En primera instancia, la Electrnica se divide de manera general en dos reas: Electrnica digital y Electrnica analgica. En la primera de ellas se utilizan componentes que manejan informacin compuesta nicamente por dos estados lgicos (0 y 1), mientras que en la segunda se utilizan componentes que manejan seales continuas que poseen fases positivas y fases negativas. El presente proyecto es una combinacin de ambos tipos de componentes, debido a que la parte que detecta la temperatura y amplifica la seal proveniente del sensor de temperatura se vale de seales continuas (parte analgica), mientras que el resto de los bloque utilizado en el termmetro manejan nicamente la codificacin binaria en sus procesos. Cuando se desea implementar de manera fsica circuitos que sean muy complejos (como el presente) es importante dividir el diseo en bloques o fases que faciliten la elaboracin del circuito electrnico, ya esto puede permitir identificar de cuantas fases constar la realizacin del circuito, facilita la deteccin de errores en el mismo y permite reutilizar cualquiera de los bloques para futuros proyectos. La manipulacin de las seales analgicas y digitales es un aspecto muy importante dentro de los procesos que puede realizar un circuito electrnico. En el caso de las seales analgicas, para el presente proyecto fue necesaria la amplificacin de la seal obtenida por el sensor de temperatura, con la finalidad de que el rango de la seal coincidiera con el rango de entrada del Convertidor Analgico Digital (CAD). Para efectuar dicha amplificacin, fue requerida la implementacin de un circuito amplificador no inversor, con lo que se logr que la seal pudiera ser tratada por el CAD. La interaccin entre las seales analgicas y digitales es otro aspecto a tomar en consideracin en la elaboracin de un circuito electrnico complejo, ya que ciertos componentes digitales pueden necesitar procesar seales analgicas. En el caso del presente proyecto, fue necesario que una memoria EPROM recibiera la seal originada por el sensor y amplificada por el LM741, para poder arrojar un valor en su salida, correspondiente a la temperatura detectada. Sin embargo, debido
Instituto Tecnolgico Superior de Lerdo | M.C. Luis Enrique Zapata Valenzuela 11

[REPORTE DE PRCTICA] 2 de diciembre de 2011 a que esta nicamente maneja seales digitales, fue necesaria la implementacin de un CAD, el cual recibe la seal analgica y la convierte en cdigo binario (seal digital). Los circuitos de memoria, de manera general nos permiten almacenar informacin que se encuentre en cdigo binario. En este proyecto utilizamos una memoria EPROM, la cual tiene como caracterstica el grabado y borrado de informacin. Para el presente proyecto, esta memoria nos permiti almacenar en 255 de sus direcciones el valor correspondiente al rango de temperatura desde los 0 hasta los 100 C. De esta manera en sus salidas se mostrar el valor de la temperatura correspondiente a la seal enviada por el CAD. Las salidas que posee la memoria arrojan un cdigo BCD (Cdigo Binario Decimal), el cual no puede ser desplegado en los displays usados en el proyecto, por lo que es necesaria la utilizacin de dos decodificadores que desplieguen los valores de las decenas y unidades de la temperatura medida. Finalmente, los circuitos combinacionales son arreglos que nos permiten implementar funciones lgicas que utilicen los operadores lgicos bsicos. En el caso del presente proyecto, se hizo uso de uno de estos arreglos para poder desplegar el valor de uno en el display de las centenas, cuando se cumpliera la condicin establecida en el circuito.

Instituto Tecnolgico Superior de Lerdo | M.C. Luis Enrique Zapata Valenzuela

12

Vous aimerez peut-être aussi