Vous êtes sur la page 1sur 41

CS1358/COMPUTER ARCHITECTURE 2MARKS WITH ANSWERS

UNIT 1 BASIC STRUCTURE OF COMPUTERS 1. Define Computer Architecture. Computer Architecture refers to the attributes of a system visible to a programmer or the attributes that have a direct impact on the logical execution of a program. Examples of architectural attributes: 1. Instruction Set 2. The number of bits used to represent various data types (numbers, characters) 3. IO mechanisms & techniques for addressing memory. 2. Define Computer Organization. Computer organization refers to the operational units and their inter connections that realize the architectural specifications. Example for Organizational attributes: Hardware details transparent to the programmer such as Control signals, Interface between the computer & Peripherals and the memory technology used. 3. What is difference between Computer Architecture and Computer Organization ? S. no 1. Computer Architecture It refers to the attributes that have a direct impact on the logical execution of the program. Architectural attributes includes the Instruction set, data types, no of bits used to represent the data, I/O mechanisms. Computer Organization It refers to the operational units and their interconnections that realize the architectural specifications Organizational attributes include those h/w details such as control signals, interfaces b/w the computer memory & I/O peripherals

2.

4. What is cache memory? The small and fast RAM units are called as caches. When the execution of an instruction calls for data located in the main memory, the data are fetched and a copy is placed in the cache. Later if the same data is required it is read directly from the cache. 5. What is the function of ALU? Most of the computer operations (arithmetic & logic) are performed in ALU.The data required for the operation is brought by the processor and the operation is performed by the ALU.

6. What is the function of control unit? The Control unit is the main part of the computer that coordinates the entire computer operations. That is the speed of the input device is slower than the processor speed. So we must coordinate this speed differences. It issues timings signals that controls the data transfer. 7. What are basic operations of a computer memory? The basic operations of the memory are READ and WRITE. READ read the data from input device to memory. WRITE writes data to the output device. 8. List out the operations of the computer. The computer accepts the information in the form of programs and data through an input unit and stores it in the memory. 1. Information stored in the memory is fetched under program control into an arithmetic and logic unit where it is processed. 2. Processed information leaves the computer through an output unit. 3. All activities inside the machine are directed by the control unit. 9. What are the main elements of a computer? Processor: To interpret and execute programs Memory: For storing programs and data Input-output equipment: For transferring information between the computer and outside world. 10. Define Computer design It is concerned with the hardware design of the computer. Once the computer specifications are formulated, it is the task of the designer to develop hardware for the system. Computer design is concerned with the determination of what hardware should be used and how the parts should be connected. This aspect of computer hardware is sometimes referred to as computer implementation. 11. Define Stored Programmed Concept Storing program and their data in the same high-speed memory. It enables a program to modify its own instructions (such self-modifying Programs have undesirable aspects, however and are rarely used.) 12. What are the registers generally contained in the processor? MAR Memory Address Register. MDR Memory Data Register. IR Instruction Register. R0 Rn General purpose Register. PC Program Counter.

13. What do you mean by Memory address register(MAR) and Memory data register(MDR)? The MAR holds the address of the location to be accessed. The MDR contains the data to be written into or read out of the addressed location

14. Define Interrupt and ISR(Interrupt Service Routine)? An Interrupt is a request from an I/O device for service by the processor. The Processor provides the requested service by executing the interrupt service routine. Due to this diversion the internal state of the processor must be saved in memory location before servicing the interrupt. 15. Define Bus? A Group of lines that serves as a connecting path for several devices is called a bus. In addition to the lines that carry the data, address and control lines. 16. Compare single bus structure and multiple bus structure? A system that contains only one bus (i.e. only one transfer at a time) is called as a single bus structure. Advantage: low cost & flexibility. A system contains multiple buses called as multiple bus structure. this allows two or more transfers to be carried out at the same time. It will give better performance, but the cost is very high. 17. What is System software? Give an example? It is a collection of programs that are executed as needed to perform functions such as 1. Receiving and interpreting user commands. 2. Entering and editing application programs and storing them as files in secondary storage devices. Eg.Assembler, Linker, Compiler etc. 18. What is Application software? Give an Example. Application programs are usually written in high level programming language, in which them programmer specifies mathematical and text processing operations. These operations are described an a format that is independent of the particular computer used to execute the program. Eg. C, C++, java. 19. What are the two techniques used to increase the clock rate R? The two techniques used to increase the clock rate R are: 1. The integrated circuit (IC) technology can be increased which reduces the time needed to complete a basic step. 2. We can reduce the amount of processing done in the basic step. 20. What is Multiprogramming or multi tasking? The OS manages the concurrent execution of several application programs to make the best possible uses of computer resources. This pattern of concurrent execution is called multiprogramming or multitasking. 21. What is elapsed time of computer system? The total time to execute the total program is called elapsed time. It is affected by the speed of the processor, the disk and the printer.

22. What is processor time of a program? The period during which the processor is active is called processor time of a program. It depends on the hardware involved in the execution of individual machine instructions. 23. Define clock rate? The clock rate is given by, R=1/P, where P is the length of one clock. It can be measure as cycles per second (Hertz). 24. What is meant by clock cycle? Processor circuit is controlled by a timing signal called a clock. The clock defines regular time intervals, called clock cycle. To execute the machine instruction the processor divides the action to be performed into sequence of basic steps; each step can be completed in one clock cycle. 25. Write down the basic performance equation? T=N*S/R T-Processor time N-Number of machine instructions S-Number of basic steps needed to execute one machine instruction R-Clock rate 26. What is addressing mode? The addressing mode is defined as the different ways in which the location of an operand is specified in an instruction. 27. What are the different types of addressing modes available? The different types of addressing modes are: 1. Immediate addressing mode 2. Register addressing mode 3. Direct or absolute addressing mode 4. Indirect addressing mode 5. Indexed addressing mode 6. Relative addressing mode 7. Auto increment 8. Auto decrement 28. Define Register addressing mode. In register addressing mode, the operand is the contents of a processor register. The name (address) of the register is given in the instruction. Effective address (EA) = Ri, Where Ri is a processor register. 29. Define absolute addressing mode. In absolute addressing mode, the operand is in a memory location. The addresses of this location are given explicitly in the instruction. This is also called as direct addressing mode. EA = Loc Where loc is the memory address.

30. What is relative addressing mode? The Effective address is determined by the index mode using the program counter in place of general purpose register. This mode is used to access the data operands. EA = X + [PC] 31. What is indirect addressing mode? The Effective address of the operand is the contents of a register or memory location whose address appears in the instruction EA = [Ri] or EA = [Loc] 32. What is indexed addressing mode? The Effective address of the operand is generated by adding a constant value to the contents of a register. EA = X + [Ri]. 33. Define auto increment mode of addressing? The Effective address of the operand is the contents of a register specified in the instruction.After accessing the operand,the contents of this register are automatically incremented to point to the next item in the list. EA = (Ri) + 34. Define auto decrement mode of addressing? The contents of a register specified in the instruction are first automatically decremented and are then used as the effective address of the operand. EA = - (Ri) 35. List the basic instruction types? The various instruction types are, 1. Three address instructions 2. Two-address instructions 3. Single-address instructions 4. Zero-address instructions 36. Define Device interface? The butter registers DATAIN and DATAOUT and the status flags SIN and SOUT are part of circuitry commonly known as a device interface. 37. What are the various ways of representing signed integers in the system? 1. Sign and magnitude system 2. 1s complement system 3. 2s complement system 38. Name 5 parts of the computer There are five parts in computer 1 Input 2. Memory 3. Arithmetic and logic 4. Output and 5. Control units.

39.List the parts of CPU of IAS computer. i) Program Control Unit(PCU): It is responsible for fetching instructions from main memory and interpreting them. ii) Data Processing Unit(DPU): It is responsible for executing instructions. 40.What is register? A small set of high-speed storage devices called registers, which serve as implicit storage locations for operands and results. 41.List the major components of CPU Instruction Register(IR): It stores the opcode of the instruction that is currently being executed. Program Counter(PC): It automatically stores and keeps track of the address of the next instruction to be executed. Address Register(AR): It holds the address of a data operand to be fetched from or sent to main memory. Instruction Buffer Register (IBR): IAS has the feature of fetching two instructions at a time from memory. Instruction Buffer register holds the second instruction. 42. What is multiprocessor? A technique which allows instructions from different program to be executed simultaneously, employs a computer with more than one CPU, such a computer is called a multiprocessor. 43.List the phases, which are included in the each instruction cycle? Fetch: fetches instruction from main memory (M) Decode: decodes the instructions opcode Load: loads(read)from M any operands needed unless they are already in CPU Registers Execute: Executes the instruction via a register-to-register operation using an appropriate functional unit of the CPU such as a fixedpoint adder. Store:Stores(write)the results in M unless they are to be retained in CPU register.

44.What are the types of computer? 1. Mini computer 2. Micro computers 3. Mainframe computers 4. Super computers 45.What are the two major steps in processing an instruction? Fetch step: During this step a new instruction is read from the external memory M by the CPU. Execute step: During this step operations specified by the instruction are executed by the CPU.

46. What is CPU clock time? The actions of the CPU during an instruction cycle are defined by a sequence of micro operations, each of which involves a register-transfer operation. The time taken by the smallest CPU micro operation is the CPU cycle time or CPUs clock period T clock. 47.What are the speedup techniques available to increase the performance of a computer? Cache: It is a fast accessible memory often placed on the same chip as the CPU. It is used to reduce the average time required to access an instruction or data to a single clock cycle. Pipelining: Allows the processing of several instructions to be partially overlapped. Super scalar: Allows processing of several instructions in parallel (full overlapping) 48.What are the major attributes of RISC. Relatively few instruction types and addressing modes. Fixed and easily decoded instruction formats. Fast and single cycle execution. Hard wired rather than micro programmed control Memory access limited mainly to load/store instruction. Use of compilers to optimize object-code performance.

49. Differentiate between RISC and CISC RISC 1.Simple Instruction can be processed in one clock cycle 2. Number of instructions is more 3. Instructions have fixed format 4. Instruction occupy 32 bits CISC 1.Complex instruction require more cycles per instruction 2. Less Number of Instructions 3. Variable format 4. Instruction occupy 2 bytes to 10 bytes

50.What are Timing signals? Timing signals are signals that determine when a given action is to take place. Data transfers between the processor and the memory are also controlled by the control unit through timing signals. 51. What is a compiler? A system software program called a compiler translates the high-level language program into a suitable machine language program containing instructions such as the Add and Load instructions. 52. Discuss about OS as system software? OS is a large program,or actually a collection of routines,that is used to control the sharing of and interaction among various computer units as they execute application programs.The OS routines perform the tasks required to assign computer resources to individual application programs.

53.What is pipelining? The overlapping of execution of successive instructions is called pipelining. 54. What is byte addressable memory? The assignment of successive addresses to successive byte locations in the memory is called byte addressable memory 55. What is big endian and little endian format? The name big endian is used when lower byte addresses are used for the more significiant of the word. The name little endian is used for the less significiant bytes of the word. 56. What is a branch instruction? Branch instruction is a type of instruction which loads a new value into the program counter. 57. What is branch target? As a result of branch instructions , the processor fetches and executes the instruction at a new address called branch target, instead of the instruction at the location that follows the branch instruction in sequential address order 58.What are condition code flags? The processor keep track of information about the results of various operations for use by subsequent conditional branch instructions. This is accomplished by recording the required information in individual bits, often called condition code flags. 59. What is a pointer? The register or memory location that contains the address of an operand is called a pointer 60.What is index register? In index mode the effective address of the operand is generated by adding a constant value to the contents of a register. The register used may be either a special register or may be any one of a set of general purpose registers in the processor. This register is referred to as an index register. 61.What is assembly language? A complete set of symbolic names and rules for the use of machines constitute a programming language, generally referred to as an assembly language. 62.What is assembler directive? SUM EQU 200 Assembler directives are not instructions that will be executed .It simply informs the assembler that the name SUM should be replaced by the value 200 wherever it appears in the program, such statements are called as assembler directives.

63.What is loader ? Loader is a system software which contains a set of utility programs. It will load the object program to the memory. 64.Define device interface. The buffer registers DATAIN and DATAOUT and the status flags SIN and SOUT are part of circuitry commonly known as a device interface. 65. What are the basic functional units of a computer? Input ,memory,arithmetic and logic unit,output and control units are the basic functional units of a computer 66. Define Response time and Throughput. Response time is the time between the start and the completion of the event. Also referred to as execution time or latency.Throughput is the total amount of work done in a given amount of time 67. How will you compute the SPEC rating? SPEC stands for system performance Evaluation Corporation Running time on the reference computer SPEC rating= _________________________________ Running time on the computer under test

UNIT II ARITHMETIC LOGIC

UNIT

1.What is an n-bit ripple carry adder? A cascaded connection of n full adder blocks, can be used to add two n-bit numbers. Since the carries must propagate, or ripple, through this cascade ,the configuration is called an n-bit ripple carry adder. 2.What are the two approaches to reduce delay in address? 1.To use fastest possible electronic technology in implementing the ripple-carry logic design or variations of it. 2.To use an augmented logic gate network structure. 3.What is the purpose of using fast adder? A fast adder circuit must speed up the generation of the carry signals. 4.What is Booths algorithm? Booths algorithm is a technique for generating a 2 n-bit product. It treats both positive and negative 2s complement n-bit operands uniformly. 5.List the two attractive features of Booth algorithm. Booth algorithm has the following features. 1.It handles both positive and negative multipliers uniformly. 2. It achieves some efficiency in the number of additions required when the multiplier has a few large blocks of 1s. 6. What are the two techniques for speeding up multiplication operation? To speed up multiplication operation ,the techniques used are given below. 1. The maximum number of summands that must be added is n/2 for n-nit operands. 2. Reduces the time needed to add the summands. 7.Give the algorithm for performing restoring division. The algorithm for performing restoring division is as follows. a) Shift A and Q left one bit position. b) Subtract M from A and place the answer back in A. c) If the sign of A is 1. set q0 to 0 and add M back to A; otherwise set q0 to 1. 8.Give the algorithm for performing non-restoring division. The algorithm for performing non-restoring division is given below. Step 1:Do the following n times. a) If the sign of A is 0,shift A and Q left one bit position and subtract M from A; otherwise , shift A and Q left and add M to A. b) Now , if the sign A is 0,set q0 to 1;otherwise , set q0 to 0. Step 2:If sign of A is 1.add M to A. 9. What are guard bits? Guard bits are the extra bits retained during the intermediate steps which yield maximum accuracy in the final results.

10

10.Define a normalized number. A normalized number is one in which the most significant digit of the significant is non-zero. 11. What is bit pair recoding? Give an example. Bit pair recoding halves the maximum number of summands. Group the Booth-recoded multiplier bits in pairs and observe the following: The pair (+1 -1) is equivalent to to the pair (0 +1). That is instead of adding -1 times the multiplicand m at shift position i to +1 ? M at position i+1, the same result is obtained by adding +1 ? M at position i. Eg: 11010 Bit Pair recoding value is 0 -1 -2 It is derived directly from Booth algorithm. 12.What are the techniques used to reduce time needed to perform multiplication? To reduce the time needed to perform multiplication, the techniques are, ? Bit-pair recoding of multiplier. ? Carry save addition of summands. ? Look ahead addition 13.What is chopping? Chopping is a simple way to truncate or remove the guard bits and make no changes in the retained bits. 14.What is the process involved in Von-Neumann rounding? If the bots to be removed are all 0s, they are simply dropped, with no changes to retained bits. However, if any of the bits to be removed are 1, the least significant bit of retained bit is set to 1. 15.Give the normalized number for base 2 representation. For base 2 representation, a normalized number is one in which the most significant bit of the significant is one. 16.What are denormalized numbers? Denormalized numbers are those numbers to handle cases of exponent underflow. When the exponent of the exponent of the result becomes too small, the result is denormalized by right ,shifting the fraction and incrementing the exponent for each shift ,until the exponent within a representable range. 17. What is half adder? A half adder is a logic circuit with two inputs and two outputs, which adds two bits at a time, producing a sum and a carry. 18. What is full adder? A full adder is logic circuit with three inputs and two outputs, which adds three bits at a time giving a sum and a carry 19. What is signed binary? A system in which the leading bit represents the sign and the remaining bits the magnitude of the number is called signed binary. This is also known as sign magnitude

11

20. What are the two approaches used to reduce delay in adders? 1) The first approach is to use the fastest possible electronic technology in implementing the ripple-carry logic design. 2) The second approach is to use an augmented logic gate network structure. 21. What is a carry look-ahead adder? The input carry needed by a stage is directly computed from carry signals obtained from all the preceding stages i-1,i-2,..0, rather than waiting for normal carries to supply slowly from stage to stage. An adder that uses this principle isa called carry look-ahead adder. 22. What are the two methods of achieving the 2s complement? a. Take the 1s complement of the number and add 1. b. Leave all least significant 0s and the first unchanged and then complement the remaining bits. 23 What is the advantages(features) of using Booth algorithm? 1) It handles both positive and negative multiplier uniformly. 2) It achieves efficiency in the number of additions required when the multiplier has a few large blocks of 1s. 3) The speed gained by skipping 1s depends on the data. 24. Give the IEEE standard for floating point numbers for single precision number. Sign of the 8-bit signed 23- bit Mantissa fraction Number exponent in excess-127 0 Positive representations 1 - Negative value represented= ? 1. M? 2E?-127 25. Give the IEEE standard for floating point numbers for double precision number. Sign of the 11-bit signed 52- bit Mantissa fraction Number exponent in excess-1023 0 Positive representations 1 - Negative value represented= ? 1. M? 2E?-1023 26. When can you say that a number is normalized? When the decimal point is placed to the right of the first (nonzero) significant digit, the number is said to be normalized. 27. Explain about the special values in floating point numbers. The end values 0 to 255 of the excess-127 exponent E? are used to represent special values such as: a) When E?= 0 and the mantissa fraction M is zero the value exact 0 is represented. b) When E?= 255 and M=0, the value ? is represented. c) When E?= 0 and M ?0 , denormal values are represented. d) When E?= 2555 and M?0, the value represented is called Not a number.

12

28. Write the Add/subtract rule for floating point numbers. 1) Choose the number with the smaller exponent and shift its mantissa right a number of steps equal to the difference in exponents. 2) Set the exponent of the result equal to the larger exponent. 3) Perform addition/subtraction on the mantissa and determine the sign of the result 4) Normalize the resulting value, if necessary. 29. Write the multiply rule for floating point numbers. 1) Add the exponent and subtract 127. 2) Multiply the mantissa and determine the sign of the result . 3) Normalize the resulting value , if necessary. 30.What is guard bit? Although the mantissa of initial operands are limited to 24 bits, it is important to retain extra bits, called as guard bits. 31. What are the ways to truncate the guard bits? There are several ways to truncate the guard bits: 1) Chooping 2) Von Neumann rounding 3) Rounding 32. Define carry save addition(CSA) process. Instead of letting the carries ripple along the rows, they can be saved and introduced into the next roe at the correct weighted position. Delay in CSA is less than delay through the ripple carry adder. 33. What are generate and propagate function? The generate function is given by Gi=xiyi and The propagate function is given as Pi=xi+yi. 34. What is excess-127 format? Instead of the signed exponent E, the value actually stored in the exponent field is and unsigned integer E?=E+127.This format is called excess-127. 35. What is floating point numbers? In some cases, the binary point is variable and is automatically adjusted as computation proceeds. In such case, the binary point is said to float and the numbers are called floating point numbers. 36. In floating point numbers when so you say that an underflow or overflow has occurred? In single precision numbers when an exponent is less than -126 then we say that an underflow has occurred. In single precision numbers when an exponent is less than +127 then we say that an overflow has occurred.

13

36.What is skipping over of ones in Booth decoding? The Transformation 011 110= +1000 10 is called skipping over ones.In his case multiplier has its ones grouped into a few contiguous blocks. 37.What are the two attractive features of Booth algorithm * It handles both positive and negative multipliers uniformly * It achieves some efficiency in the number of additions required when the multiplier has a few large blocks of ones 38. Give an example for the worst case of Booth algorithm The worst case is shown as below 0 1 0 1 0 1 0 1 0 1-1+1-1+1-1+1-1+1 In the worst case each bit of the multiplier selects the summands. This results in more number of summands. 39. What are the two techniques for speeding up the multiplication operation? Bit Pair recoding CSA 40. How bit pair recoding of multiplier speeds up the multiplication process? It guarantees that the maximum number of summands that must be added is n/2 for nbit operands. 41. How CSA speeds up multiplication? It reduces the time needed to add the summands. Instead of letting the carries ripple along the rows, they can be saved and introduced into the next row, at the correct waited position. 42.What is the advantage of non restoring over restoring division? Non restoring division avoids the need for restoring the contents of register after an successful subtraction.

14

43. Write down the steps for restoring division and non-restoring division NonRestoring: Step1: Do the following n times 1.If the sign of A is 0, shift A and Q left one bit position and subtract M from A otherwise shift A and Q left and add M to A. 2.Now if the sign of A is 0, set Q0 to 1; otherwise set Q0 to 0 Step 2: If the sign of A is 1, add M to A Restoring: Shift A and Q left one binary position Subtract M from A If the sign of A is one , set Q0 to 0, add M back to A otherwise set Q0 to 1 44.Briefly explain the floating point representation with an example? The floating point representation has 3 fields 1.sign bit 2.significiant bits 3.exponent For example consider 1.11101100110 x 10^5, Mantissa=11101100110 Sign=0 Exponent=5 45.What are the 2 IEEE standards for floating point numbers? 1.single Precision floating point numbers 2.double precision floating point nos 46.What is overflow, underflow case in single precision(sp)? Underflow-In SP it means that the normalized representation requires an exponent less than -126. Overflow- In SP it means that the normalized representation requires an exponent greater than +127. 47.What are the exceptions encounted for FloatingPoint(FP) operation? The exceptions encounted for FP operation are overflow,underflow,/0,inexact and invalid values.

15

UNIT 5 BASIC PROCESSING UNIT AND PIPELINING


2.Define Processor. It executes machine instructions and coordinates the activities of other units. It is also called as instruction set processor or central processing unit (CPU). 3. What is Data path? The data registers ,ALU and the interconnecting bus is referred to as data path. 13.Define MAR, MDR? MAR means memory address register and MDR means memory data registers. These two are the processor registers that can be used in memory read and write operations. 14.Define register transfer and list out the signals used to do it. As instruction execution involves a sequence of steps in which data are transferred from one register to another register. Two control signals are used to place the contents of the registers on the bus or to load the data on the bus into the registers. The signals are Ri in, Ri out. 15.Write down the control sequence for Move (R1), R2. The control sequence is: R1 out, MAR in Read MDRoutE, WMFC MDRout,R2 in, 16.Write down the steps to transfer the content of register R1 to register R4. Enable the output of register R1 by setting R1 out to 1. This places the contents of R1 on the processor bus. Enable the input of register R4 by setting R4 into 1. This loads data from processor bus into register R4. 17.Define multiphase clocking. In some processor data transfers may use both the rising and falling edges of the clock. Two or more clock signals are needed to guarantee proper transfer of data. This is known as multiphase clocking.

18.Define MFC signal. To accommodate the validity in response time, the processor waits until it receives an indication that the requested Read operation has been completed. A control signal MFC(Memory Function Complete ) is used for this purpose. 19.Write down the steps to execute Add(R3),R1 instruction. Fetch the instruction Fetch the first operand Perform the addition Load the result into R1.

16

20.Define register file. In multi bus architecture all the general purpose registers are called combined into a single clock called as register file. 21.Define interrupt? CPU supervises the other system components via special control lines. Whenever the CPU receives the signals from the IO device (i.e.) interrupt signals, it suspends the current execution of the program and performs the interrupt request. After process the interrupt request, CPU transfers from supervisor mode to user mode. 22.Define instruction cycle. The sequence of operations involved in processing an instruction is called as an instruction cycle. It is divided into two phases: 1.fetch cycle 2. execution cycle. The instruction is obtained from main memory during the fetch cycle. The execution cycle includes decoding the instruction, fetching any required operands, and performing the operation specified by the instructions opcode 23.Define Hardwired control ? The circuit is design with the useful goals of minimizing the number of components used and maximizing the speed of operation. Once the unit is constructed, the only way implement changes in control unit behaviors are by redesigning the entire unit. Such a circuit is called hardwired control design. 24.What is the difference between hardwired control and micro' programmed control memory? Hardwired Control: Implementation of hardwired is using sequential circuits and flip flops. If any change is to be done then the whole design is to be modified. Micro Program Control: Micro program is based on microinstruction. If the change is to be design then part of program is to be modified.

25.What is the difference between horizontal microinstructions and vertical microinstructions? Horizontal Micro Instruction: Ability to express a high degree of parallelism. The length of format is long.Little encoding of control information. Vertical Micro Instruction: The length of the format is short Limited ability to express parallel micro operations. Considerable encoding of control information

26.Define multicycle ? ALU processes each m bit slice in K consecutive clock cycles is termed as multicycle. 27.Explain load-store architecture? The program fragment that uses only the "load and store instruction to access memory is called load and store architecture. It is common to allow other instruction to specify operands in memory.

17

28.How do you measure the speed of a pipeline? Pipeline speedup s(m) = T(1 )1T(m) , m -Stage ... T(m) - The execution time for same target workload on an m-stage pipeline T(1) - The execute on time for same target workload on a non pipelined processor 36. How do you calculate the performance of the pipeline? Pipeline's performance I cost ratio PCR = f/k f - Clock frequency k - Hardware cost 37.Define Hit ratio. The performance of cache memory is frequently measured in terms of a quantity called hit ratio. Let N1 and N2 denote the number of references to M1 and M2respectively in the block address stream.The block hit ratio H is defined by H=N1/N1+N2 38.What is the difference between macro and microinstructions? Macro Instruction: Assign symbolic name to sequence of instructions I Micro Instruction: Specify low-level micro operations. 39.Explain coprocessor function? Coprocessor is a separate instruction set processor (ie) closely coupled to the CPU and whose instruction and registers direct extensions of the CPU'S. 40.What is control word? It is a word whose individual bits represent the various control signals. Control sequence of an instruction defines a unique combination of 1s and 0 s in the control word. A sequence of CWs corresponding to the control sequence of a machine Instruction constitute the micro routine for that instruction. 41.Define control store The micro routines for all instructions in the instructions set of a computer are stored in a special memory called the control store. To read the control words sequentially from the control store, a micro program counter is used. 42.List out the situations that not increment the micro Pc value. When a new instruction is loaded into the IR , the micro PC is loaded with the starting address of the micro routine for that instruction. When a branch instruction is encountered and the branch condition is satisfied the micro Pc is loaded with the micro Pc is loaded with the branch target address. When an End instruction is encountered micro Pc is loaded with the address of the first CW in the micro routine for the instruction fetch cycle.

18

43.What is the draw back present in micro instruction s representation and how can we eliminate it? Assigning individual bits to each control signal results in long micro instruction s because the number of required signals is usually large. Moreover only a few bits are set 1. So the available bit space is poorly used. We can overcome this draw back by grouping the relevant control signals. 44.Define vertical organization. Highly encoded scheme groups more number of instruction s into a single group. So minimum number of groups is enough to represent instruction set. This is known as vertical organization. 45.What is meant by horizontal organization? Minimally encoded scheme groups minimum number of instruction s into single group. So we need more group to represent the instruction set. This is known as vertical organization. 46.Define bit ORing technique. By using this technique we can modify the branch address. It use an Or gate to change the least significant bit of the specified instructions address to1 , if the addressing mode is used. 47.Why it is need of pre fetch instruction? One draw back of micro programmed control is the slower operation because of the time it takes to fetch instruction s from the control store. Faster operation is achieved if the next instruction is pre fetched while three current one is being executed. 48.Define emulation. Programs written in the machine language of M2 can be run on computer M1 that is M1 emulate M2. Emulation allows us to replace absolute equipments.

49.What is meant by micro programmed control? In some processor the control signals are generated by a program similar to machine language programs. This is known as micro programmed control. 50.Comparison between Hardwired and Micro programmed control

Attribute Speed Ability to handle large Design process Applications

Hardwired control Fast Some what difficult Some what complicated Mostly RISC microprocessors

Micro programmed control slow Easier Orderly and systematic Mainframe ,some microprocessors

19

51) What is the drawback of microprogrammed control? It leads to a slower operating speed because of the time it takes to fetch microinstructions from the control store. 52.Define pipelining By arranging the hardware we can perform more than one instruction at the same time. The number of operations performed per second is increased with out changing the elapsed time. This is called pipelining. 53.Draw the hardware organization of two-stage pipeline?

Instruction Fetch Unit

Execution Unit

Inter stage buffer 54.What are the steps in pipelining processor. Fetch : Read the instruction from the memory. Decode : Decode the instruction and fetch the source operands. Execute : Perform the operation specified by the instruction Write : Store the result in the destination location.

55.Write short notes on instruction pipelining The various cycles involved in the instruction cycle. These fetch ,decode and execute cycles for several instructions are performed simultaneously to reduce overall processing time. This process is referred as instruction pipelining.

56.What is the need to use the cache memory in pipelining concept? Each stage in a pipeline is expected to complete its operation in one clock cycle. But the accessing time of the main memory is high. So it will take more than one clock cycle to complete its operation. So we are using cache memory for pipelining concept. The accessing speed of the cache memory is very high. 57. Define Structural hazards These hazards are because of conflicts due to insufficient resources when even with all possible combination , it may not be possible to overlap the operation. 58. What are the Types of hazards? 1. Structural hazards 2. Data or Data dependent hazards 3. Instruction or control hazards

20

59.What is Data hazard? A data hazard is any condition in which either the source or the destination operands of an instruction are not available at the time expected in pipeline. As a result some operation has be delayed and the pipeline stalls. 60.What are instruction hazards? They arise while pipelining branch and other instructions that change the contents of program counter. The simplest way to handle these hazards is to stall the pipeline stalling of the pipeline allows few instructions to proceed to completion while stopping the execution of those which results in hazards 61.What is meant by bubbles in pipeline? Any condition that causes the pipeline to be is known as pipeline stall. This is also known as bubble in the pipeline. Once the bubble is created as a result of a delay, a bubble moves down stream until it reaches the last unit. 62. What sis structural hazard? When two instructions require the use of a given hardware resource at the same time this hazard will occur. The most common case of this hazard is memory access. 63. How can we eliminate the delay in data hazard? In pipelining the data can be executed after the completion of the fetch operation. The data are available at the output of the ALU once the execute stage completes. Hence the delay can be reduced if we arrange for the result of fetch instruction to be forwarded directly for use in next step. This is known as operand forwarding. 64. How can we eliminate data hazard using software? The data dependencies can be handled with the software. The compiler can be used for this purpose. The compiler can introduce the two cycle delay needed between instruction I1 and I2 by inserting NOP (no operation) I1: MUL R2, R3, R4 NOP NOP I2: ADD R5, R4, R6 65. When will the instruction have s die effect? Some time an instruction changes the contents of a register other than the destination. An instruction that uses an auto increment or auto decrement addressing mode is san example. AddWithCarry R2, R4 This instruction will take the carry value present in the condition code register. So it refers the register which is not represented in the instruction 66. Define branch penalty The time lost as a result of a branch instruction is often referred to as the branch penalty. This will cause the pipeline to stall. So we can reduce branch penalty by calculating the branch address in early stage.

21

67. What is the use of instruction queue in pipeline? Many processors can fetch the instruction before they are needed and put them in called instruction queue. This instruction queue can store several instructions.

queue

68. Define dispatch unit. It is manly used in pipeline concept. It takes the instruction from the front of the instruction queue and sends them to the execute unit for execution. 69. What is meant by branch folding and what is condition to implement it? The instruction fetch unit has executed the branch instruction concurrently with in the execution of other instruction s. This occurs only if at the time of branch is encountered at least one instruction is available in the queue than the branch instruction. 70. What is meant by delay branch slot? A location following branch instruction is called as branch delay slot. There may be more than one branch delay slot, depending on the execution time. The instruction in the delay slot is always fetched and at least partially execution before the branch decision is made. 71. Define delayed branching. It is a technique by using it we can handle the delay branch slot instructions. We can place some useful instruction in the branch delay slot and execute these instruction s when the processor is executing the branch instruction. If there is no useful instruction in the program we can simply place NOP instruction in delay slot. This technique will minimize the branch penalty. 72. Define prediction It is a technique used for reducing branch penalty associated with the condition branches. Assume that the branch will not take place and to continue the fetch instructions in sequential address order until the branch condition is evaluated. 73. Define static and dynamic branch prediction The branch prediction decision is always the same every time a given instruction is executed. This is known as static branch prediction. Another approach in which the prediction may change depending on execution history is called dynamic branch prediction. 74. List the two states in the dynamic branch prediction LT: Branch is likely to be taken. LNT: Branch is likely not to be taken. 75.List out the four stages in the branch prediction algorithm ST :Strongly likely to be taken LT :Likely to be taken LNT :Likely not to be taken SNT :Strongly not to be taken 76.List the features of the addressing mode used in the modern processor. Access to an operand does not require more than one access to the memory. Only load and store instruction s access memory operand The addressing mode used does not have side effects,

22

77.Does the number of stages in the pipeline affect the performance? Yes. The number of pipeline stages will increase the speed of processing. It has one draw back. That is the probability of the pipeline being stall is also increased. 78. Give the advantages of complex addressing modes The main advantage of such modes is that they reduce the number of instructions needed to perform a given task and there by reduce the program space needed in the main memory. 79. What is superscalar processor? A processor capable of parallel instruction execution and having performance level greater than one instruction per cycle is known as superscalar processor. 80. What is register renaming? When temporary register holds the contents of the permanent register the name of permanent register is given to that temporary register this is called renaming. 81.Explain the sequence to perform write operation MAR [R1] MDR [R2] Wait for MFC 82.Explain cache hit and cache miss. a. If the desired data are found in the cache ,that is referred to as cache hit. b. If the desired data are not found in the cache ,that is referred to as Cache miss. 83) What is said to be side effect? When a location other than one explicitly named in an instruction as a destination operand is affected, the instruction is said to have a side effect. 84) What is dispatch unit? A separate unit which we call the dispatch unit, takes instructions from the front of the queue and sends them to the execution unit. The dispatch unit also performs the decoding function. 85) What is branch folding The instruction fetch unit has executed the branch instruction concurrently with the execution of other instructions. This technique is referred to as branch folding. 86) What is delayed branching? A technique called delayed branching can minimize the penalty incurred as a result of conditional branch instructions. The idea is simple. The instructions in the delay slots are always fetched. Therefore, we would like to arrange for them to be fully executed whether or not the branch is taken. The objective is to be able to place useful instructions in these slots. If no useful instructions can be placed in the delay slots, these slots must be filled with NOP instructions.

23

87) What are condition codes? In many processors, the condition code flags are stored in the processor status register. They are either set or cleared by many instructions, so that they can be tested by subsequent conditional branch instructions to change the flow of program execution. 88) Define speculative execution. Speculative execution means that instructions are executed before the processor is certain that they are in the correct execution sequence. Hence, care must be taken that no processor registers or memory locations are updated until it is confirmed that these instructions should indeed be executed. If the branch decision indicates otherwise, the instructions and all their associated data in the execution units must be purged , and the correct instructions fetched and executed. 89) What is called static and dynamic branch prediction? The branch prediction decision is always the same every time a given instruction is executed. Any approach that has this characteristic is called static branch prediction. Another approach in which the prediction decision may change depending on execution history is called dynamic branch prediction. 90) What are superscalar processors? Several instructions start execution in the same clock cycle, and the processor is said to use multiple issue. Such processors are capable of achieving an instruction execution throughput of more than one instruction per cycle. They are known as superscalar processors. 91) What is imprecise and precise exception? Situation in which one or more of the succeeding instructions have been executed to completion is called imprecise exception. Situation in which all subsequent instructions that may have been partially executed are discarded. This is called a precise exception. 92) What is commitment unit? When out-of-order execution is allowed, a special control unit is needed to guarantee in-order commitment. This is called the commitment unit. It uses a queue called the reorder buffer to determine which instruction should be committed next. Instructions are entered in the queue strictly in program order as they are dispatched for execution. 93) What is a deadlock A deadlock is a situation that can arise when two units, A and B, use a shared resource. Suppose that unit B cannot complete its task until unit A completes its task. At the same time, unit B has been assigned a resource that unit A needs. If this happens, neither unit can complete its task. Unit A is waiting for the resource it needs, which is being held by unit b. at the same time, unit B is waiting for unit A to finish before it can release that resource.

24

UNIT 4 (MEMORY SYSTEM)


1.How is memory connected to the processor? K bit address bus

Memory upto2k Addressable

MAR
n bit data bus

locations word length = n bits

MDR

Control lines 2. Define addressing scheme? Addressing scheme is a scheme used in any computer to determine and maximum size of the memory. 3. What are the two registers involved in data transfer between the memory and the processor? The registers used to transfer data are, 1. MAR (Memory address register) 2. MDR (Memory data register). 4. Define Memory access time? A useful measure speed of memory units is the time that elapses between the initiation of an operation and the completion of that operation. This is referred to as memory access time. 5. Define Memory cycle time? Memory cycle time is minimum time delay required between the initiation of to successive memory operations. 6. When is memory unit called as RAM? A memory unit is called as RAM if any location can be accessed for a read or writes operation in some fixed amount of time that is independent of the location address. 7.List out the RAMs types? (i) Static RAM (ii) Dynamic RAM (a) Asynchronous DRAM (b) Synchronous DRAM 8. What is cache memory? Cache memory is a small, fast memory that is inserted between the larger, slower main memory and the processor. 9. What are the advantages of cache memory? The advantages of cache memory are,

25

1. It reduces the Memory access time 2. It holds the currently active segments of a program and their data. 10.What is MMU? MMU is the memory management unit. It is a special memory control circuit used for implementing the mapping of the virtual address space onto the physical memory. 11.List the Characteristics of Semiconductor RAM Memories. The semiconductor RAM memories has the following characteristics: 1.They are available in a wide range of speeds. 2.Their cycle times range from 100ns to less than 10ns. 3.They replaced the expensive magnetic core memories. 4.They are used for implementing memories. 12.Define Memory cell? Memory cell is a cell which is usually organized in the form of an array in which each cell is capable of storing one bit of information. 13.What is a word line? In a Memory cell,all the cells of a row are connected to a common line called as word line. 14.Define static memories. Memories that consist of circuits capable of retaining their state as long as power is applied .It is called as static memories. 15.Difference between static RAM and Dynamic RAM.

S.no 1. 2. 3. 4. They They They They

Static RAM are fast are very expensive require several transistors retain their state indefinitely They They They They

Dynamic RAM are slow are less expensive require less no several transistors do not retain their state indefinitely

16.Differentiate asynchronous DRAM with synchronous DRAM? S.no. asynchronous DRAM 1. The timing of the memory device is controlled asynchronously 2 There is specialized memory controlled circuit that provides the necessary control information 3 Separate refresh circuit is not used synchronous DRAM The timing of the memory device controlled synchronously. The memory operations are synchronized with a clock signals. It uses the separate refresh circuit.

26

17.Why SRAMS are said to be volatile? Static RAMs are said to be volatile memories because their contents are lost when power is interrupted. 18.What is a refresh circuit? A refresh circuit is a circuit which ensures that the contents of a DRAM are maintained when each row of cells are accessed periodically. 19.What are asynchronous DRAMs? In DRAM,the timing of the memory device is controlled asynchronously.A specialized memory controller circuit provides the necessary control signals RAS and CAS that govern the timing.The processor must take into account the delay in the response of the memory.Such memories are referred to as asynchronous DRAMs. 20.What are synchronous DRAMs? Synchronous DRAMs are those whose operations is directly synchronized with a clock signal. 21.Define Memory latency? Memory latency is used to refer to the amount of time it takes to transfer a word of data to or from the memory. 22.How can we achieve fast page mode operation in DRAM? In DRAM we can apply a consecutive sequence of column addresses under the control of successive CAS signal. This allows transfers a block of data a much faster rate. This block transfer capability is referred as fast page mode. 23.What are CAS and RAS? CAS and RAS are the two control signals used in DRAM to select the particular cell from the array of cells . CAS means column address strobe signals and RAS means Row address strobe signals. 24.Define memory bandwidth . The number of bits or bytes that can be transferred in one second is known as memory bandwidth. 25.What is double data rate SDRAM? The double data rate SDRAM can transfer data on both edges of the clock signals. So the bandwidth is doubled. 26.Define interleaving Cell array can be organized in two banks . Each bank can be accessed separately. So the consecutive words of given block are stored in different banks. It is known as interleaving of words. It increases the transfer rate. 27.How can you create large memory using dynamic memory system? A large memory can be create by placing DRAM chips directly on the main system printed circuit board that contains the processor , often referred to as a mother board.

27

28.Define SIMM and DIMM SIMM > Single In line memory modules . DIMM-> Dual In line memory modules . SIMM means single in line memory module and DIMM means dual in line memory module. Theses two large memories are created by using the DRAM . This module is an assembly of several memory chips on a separate small board that plugs vertically into a single socket on the mother board. 29.What is RAMBUS Memory? 1. The key feature of Rambus technology is a fat signaling method used for transfer information between chips. 2. Instead of using signals that have voltage levels of either 0 or 5v to represent the logic values, rambus technology uses 0.3 and +2v. 30.What is Memory controller? A memory controller is a circuit which is interposed between the processor and the dynamic memory. It is used for performing multiplexing of address bits. 31.Draw backs present in the DRAM. All dynamic memories have to be refreshed and it does not have a refreshing capability . So the memory controller has to provide all the information needed to control the refreshing operation. This increases the over head of the controller circuit. 32.Define Rambus DRAM It is specially designed memory chips. These chips use arrays based on the standard DRAM technology. Multiple banks of cell arrays are used to access more than one word at a time . Circuitry needed to interface to the rambus channel in included on the chip. 33.What is differential signaling and where we are using it? Instead of using signals that have voltage levels of either 0 or V supply to represent logic values , the signals consist of much smaller voltage swings around a reference voltage Vref is used The reference voltage is about 2 V and two logic levels are represented by 0.3 V swings above and below V ref . This is type of signaling is known as differential signaling and it is used in rambus technology. 34.Define non volatile memory and given an example for it. The non volatile memories can retain their contents if power is turned off. Example for this memory is ROM which includes only the reading operation. ROM means read only memory . It can store booting information of the system. 35.What is meant by PROM and list out its advantages and disadvantages ? PROM means programmable read only memory. It allows the data to be loaded by the user . It provides the flexibility and convenience not available with ROM.It allows the user to write the program only once. 36.Define EPROM

28

EPROM means erasable programmable ROM. It allows the stored data to be erased and new data to be loaded. It uses the ultraviolet rays to erase the contents . Its contents can be erased and reprogrammed. 37.What is disadvantages involved in EPROM? EPROM is that a chip must be physically removed from the circuit for reprogramming and that its entire contents are erased by the ultra violet light . It is not possible to remove the selective contents from EPROM 38.What are disadvantages in EEPROM? The only difference of EEPROM is that different voltages are needed for erasing, writing, reading the stored data. 39.Define EEPROM EEPROM means erasable programmable electrically . It overcomes the drawbacks of the EPROM . It allows the user to erase the contents selectively . It uses the different voltage levels for erasing , writing and reading the stored data. 40.What is flash memory? This is similar to flash memory . In EEPROM it is possible to read and write the contents of a single cell But in flash memory reading a single cell is possible but it is possible to write an entire block of cells. 41.Define flash cards? One way constructing a large module is to mount flash chips on a small card . Such a card is known as flash cards. It comes in variety of sizes. Typical sizes are 8, 32 and 64 bytes. 42.List out the advantages and disadvantages of the flash drives. The flash drives are solid state electronic devices that have no movable parts . They have shorter seek time and access time . They have low power consumption . They are also insensitive to vibration. These are the advantages of the flash drives. The disadvantages of the flash drives are their smaller capacity and higher cost per bit. 43.List out the levels in the cache memories. Cache memories are consists of two levels. They are primary level cache and secondary level cache. Primary cache is always located on the processor chip. It is very small in size . The secondary cache is placed between the primary and the rest of the memory. 44.What is meant by locality of reference? Many instructions in localized areas of the program are executed repeatedly during some time period and the remainder of the program is accessed relatively infrequently . This is known as locality of reference. 45.How the locality of reference can be implemented in the system? Locality of the reference can be implemented in two ways. They are temporal and spatial . Temporal means that a recently executed instructions is likely to be executed

29

again very soon . Spatial means that instructions in close proximity instructions are likely to be executed very soon.

to recently executed

46.What is meant by replacement algorithm? When the cache is full and a memory word that is not in the cache is referred the cache control hardware must decide which block should be removed to create space for the new block that contains the referred word. The collections of rules for making this decision is known as replacement algorithm. 47.Define write through protocol. A modified page in the cache memory has to be written back to the main memory before it is removed from the main memory. This can be done by using write through protocol . In this technique , the cache location and the main memory locations are updated simultaneously. 48.Define write back technique. This technique is to update the cache location and to mark it as updated associative flag bit, often called as dirty bit or modified bit. The main memory of the word is updated later. It is also known as copy back protocol.

with an location

49.What is meant by read hit and write miss? If the addressed word is present in the cache memory then it is known as read hit. If the addressed word is not present in the cache memory then it is known as write miss.

50.Define mapping function and list out its types? Determining the cache location in which to store main memory as mapping functions. It consists of three types. 1. Direct mapping 2. Associative mapping 3. Set associative mapping.

blocks

is known

51.What is meant by direct mapping The block of the main memory maps into block j of the cache memory . This technique is known as direct mapping . It is easy method to implement and not a flexible one. 52.Define associative mapping It is a more flexible method. In this a main memory block can be placed into are any cache block position. The 12 bit tag bits are required to identify a memory block when it is resident in the cache. 53.What is set associative mapping? It is a combination of direct and associative mapping . Blocks of the cache are grouped into set and the mapping allows a blocks of the main memory to reside in any block of a specific set.

30

54.What is meant by LRU replacement algorithm? When a block of the cache memory is to be overwritten , it is sensible to overwrite the one that has gone the longest time without being referenced . This block is called the least recently used block and the technique is called as LRU replacement algorithm. 55.Define hit rate and miss rate. The number of hits stated as a fraction of all attempted accesses is called the hit rate. Miss rate is the number of misses stated as a fraction of attempted accesses. 56.Define the term miss penalty? In case of cache miss, extra time is needed to bring the desired information into a cache is called the miss penalty. 57.Write down the equation for the average access time of the processor. Tave = hC+(1-h)M h is hit rate C is the time to access information in the cache M is the miss penalty 58.What is meant by lockup free cache? A cache that can support multiple outstanding misses is called lockup free cache. This allows the processor to access while a miss is being serviced. 59.Define virtual memory technique. The technique that automatically move program and data blocks into the physical main memory from the secondary memory device when they are required for execution are called virtual memory technique 60.Define page fault. When a program generates an access request to a page that is not in the main memory , a page fault is occur. The whole page must be brought from the Disk into the memory before access can proceed. 61.What is meant by Manchester encoding and list out its disadvantages, The encoding scheme combines the clocking information with the data. A change in magnetization is guaranteed at the mid point of each bit period. The draw back of Manchester encoding is its poor bit storage density. The space required to represent each bit must be large enough to accommodate two changes in magnetization. 62.List out the key parts in the disk system. The assembly of disk platters usually known as disk. The electromechanical mechanism that spins the disk and moves the read write head is known as disk drive The electronic circuitry that controls the operations of the system that is known as disk controller.

31

63.Define the access time of the disk system The access time of the disk system is the combination of the seek time and the rotational delay or latency time. Seek time means the time required to move the read write head to the proper track. The rotational delay is the amount of the time that elapses after the head is positioned over the correct track until the starting position of the addressed sector passes under the read write head. 64.What is meant by disk controller? It is directly connected to the processor system bus, or to an expansion bus such as PCI contains a number of registers that can be read and written by the OS. 65.List out the information present the OS 1. Main memory address 2. Disk address 3. Word count in the read or write request generated by

66.List out the major functions of the disk controller. 1. Seek 2. Read 3. Write 4. Error checking 67. Define the term miss penalty? In case of cache miss, extra time is needed to bring the desired information into a cache is called the miss penalty.

32

UNIT 5 INPUT/OUTPUT ORGANIZATION


1. Give the organization of single bus structure?

Processor

Memory

I/O Devices 1

I/O Devices n

2. What is memory mapped I/O? With Memory mapped I/O, any machine instruction that can access memory can be used for transfer data to or from an I/O device. 3. What is program controlled I/O? In program controlled I/O, the processor repeatedly checks a status flag to achieve the required synchronization between the processor and an input and output device. 4. What are the various mechanisms for implementing I/O operations ? 1. Program controlled I/O 2. Interrupts 3. Memory mapped I/O 4. DMA 5.Define ISR ISR is nothing but interrupt service routines . It can handle the execution of the interrupts and it responses to an interrupt request. 6.What constitute the devices interface circuit? The address decoder, the data and status register and the control circuitry required to co-ordinate I/O transfers constitute the devices interface circuit. 7. What is interrupt service routine? The routine executed in response to an interrupt request is called as interrupt service routine. In short ,it is called as ISR. 8. What is the purpose of interrupt acknowledgement signal? The interrupt acknowledgement signal is used by the processor to inform the device that is request has been recognized so that it may remove its interrupt request signal. 9. Define interrupt latency? The delay between the time an interrupt request is received and the start of execution of the interrupt service routine is called interrupt latency. 10. What is real time processing? The concept of interrupts is used in many control applications where processing of certain routines must be accurately timed relative to external events. This type of application is called as real time processing? 11. What are Special gates used for driving INTR line? The special gates used for driving INTR line are, 1.Open collector 2.Open drain

33

12.When is an interrupt line said to be edge triggered? An interrupt line is said to be edge triggered if the interrupt handling circuit responds only to the leading edge of the signal. 13.Give a typical scenario assuming that interrupts are enabled? A typical scenario as follows, 1. The device raises an interrupt request. 2. The processor interrupts the program currently being executed. 3. Interrupts are disabled by changing the control bits in the bus. 4. The device is informed that its request has been recognized and in response , it activates the interrupt request signal. 5. The action requested by the Interrupt is performed by the ISR. 6. Interrupts are enabled and execution of the interrupted program is resumed. 14.What are vectored interrupts? To reduce the time involved in the polling process, a device requesting an interrupt may identify itself directly to the processor. Then the processor can immediately start executing the corresponding ISR.The term vectored interrupts refer to all interrupt handling schemes based on this approach. 15.What is interrupt vector? Interrupt vector is the starting address of the interrupt service routine stored in the location pointed by the interrupting device. 16.What are privileged instructions. Privileged instructions are the instructions which are executed only while the processor is running in the supervisor mode. 17.What is privilege exception? An attempt to execute a privileged instruction while in the user mode leads to a special type of interrupt called a privilege exception. 18.What are the two independent mechanisms for controlling interrupt requests? To control interrupt requests ,the mechanisms used are , 1.At the device end , an interrupt enable bit in a control register determines whether the device is allowed to generate an interrupt request. 2.At the processor end, either an interrupt enable bit in the PS register or a priority structure determines whether a given interrupt request will be accepted. 19.What are exceptions? Give an Example? An except is a term often used to refer to any event that cause an interruption. Eg. I/O interrupts. 20.What is a debugger? A debugger is a program used by system software which helps the programmer finds errors in a program.

34

21.What are the two facilities provided by a debugger? The facilities provided by a debugger are. 1.Trace 2.Breakpoints. 22.What does an exception occur when the processor is in trace mode? When the processor is operating in the trace mode , an exception occurs after execution of every instruction, using the debugging program as the exception service routine. The trace exception is disabled during the execution of debugging program. 23.What are the uses of interrupts in OS? The uses of interrupts in OS are, 1.To assign priorities 2.Switch from one user program to another. 3.Implementing security. 4.Protection features. 5.Co-ordinate I/O activities. 24.What is the process? A program together with any information that describes current state of execution is regarded by the OS as an entity called process. 25.Define Multitasking? Multitasking is a mode of operation in which a processor executes several user programs at the same time. 26.What is time slicing? Time slicing is a common OS technique that makes multitasking possible. With this technique, each program runs for a short time period called as a time slice, then another program runs for its time slice and so on. The period, is determined by continuously running hardware clock, which generates an interrupt every seconds. 27.What are the three states of a process? A process can be in one of the three possible states. 1.Running 2.Runnable. 3.Blocked. 28.Differenciate a process in running and runnable state? The running state means that the program is currently being executed .The process is runnable if the program is ready for execution but is waiting to be selected by the scheduler. 29.What is program state? A program state is state which includes register contents, program counter and the program status word.

35

30.What is DMA? A special control unit that may be provided to allow transfer of a block of data directly between an external device and the main memory without continuous intervention by the processor. This approach is called Direct memory access. 31.What is the purpose of a DMA controller? The DMA controller performs the functions that would normally be carried out by the processor when accessing the main memory. 32. What is cycle stealing? Cycle stealing is an interweaving technique used by DMA controller to steal the memory cycles from the processor. 33.What is a block or burst mode? The DMA controller may be given exclusive to the main memory to transfer a block of data without interruption. This is known as block or burst mode. 33.What do you mean by distributed arbitration? Distributed arbitration means that all devices waiting to use the bus have equal responsibility in carrying out the arbitration process, without using a central arbiter. 34.What is the purpose of a bus protocol? A bus protocol is the set of rules that governs the behavior of various devices connected to the bus. 35.Define master? Master is a device that initiates data transfer by issuing read or write commands on the bus. Master is also called as initiator. 36.What is a slave? The device addressed by the master is called as slave. Slave can also called as target. 37.What is a synchronous bus? In synchronous bus , all devices derive timing information from the common clock line. Equally spaced pulses on this define equal time intervals. 38.What is a asynchronous bus? In asynchronous bus , controlling data transfer on the bus is based on the use of handshake between the master and slave. 39.List the two advantages of a full handshake? The advantages of a full handshake are, 1.Highest degree of flexibility is provided. 2.Highest degree of reliability is provided. 40.What is the main advantages of asynchronous bus? The main advantage of asynchronous bus is that the handshake process eliminates the need for synchronization of the sender and the receiver blocks, thus simplifying timing design.

36

41.What is a port? The side opposite to bus signals in an I/O interface consists of data path with its associated controls to transfer data between the interface and the I/O device. This side called a port. 42.What is the difference between serial port and parallel port? 1.A parallel port transfers data in the form of a number of bits typically 8 or 16 simultaneously to or from the device. 43.What is a bridge? A bridge is an interconnection circuit between two buses. It translates the signals and protocols of one bus into those of the other. 44.What is transaction? A complete transfer operation on the bus , involving an address and a burst of data is called a transaction. 45.Define SCSI? SCSI stands for small computer system interface. It refers to a standard bus defined by ANSI under designation X3.131. 46.What are the different categories of SCSI bus signals? SCSI bus signals are classified as, 1.Data signal 2.Phase signal 3.Information signal 4.Handshake. 5.Direction of transfer. 47.What are the objectives of USB? The objectives of USB are as follows, 1.Provide a simple ,low cost and easy to use interconnection system. 2.Enhance user convenience through a plug and play mode operation. 48.What is isochronous? An isochronous data stream means that the successive events are separated by equal periods of time. 49.What is hub? A hub is the intermediate control point between the host and the I/O device. 50.Define serial port. A serial port transmits and receives data one word bit at a time.

37

PART B Big questions


UNIT I BASIC PROCESSING UNIT
1.Explain the various addressing modes. 1. Register mode 2.Absolute mode 3.Immediate mode 4.Indirect mode 5.Indexed mode 6.elative mode 7.Auto increment mode 8.Auto Decrement mode 2. Discuss the following: (i)Basic operational concepts of a computer. Instructions , Operational details of a processor ,Registers Operating steps (ii) Basic instruction types. 1.Zero address instruction 2. One address instruction 3.Two address instruction 4.Three address instruction 3.Discuss in detail the various measures of performance of a computer
1. Processor clock 2.Pipelining and super scalar operation 3.Clock rate 4.Instruction set 5.Compiler

4.Explain the various functional units of a computer


1. 2. 3. 4. 5. Input unit Output Unit ALU CU MU

UNIT II ALU(ARITHMATIC LOGIC UNIT)


1.Explain in detail the principle of Carry look ahead adder? Generate function Propagate function 4-bit carry look-ahead adder 2. Explain the sequential circuit binary multiplier and give an example for it. Explanation ,Diagram 3. Mention the rules for floating point addition and subtraction and explain how they are implemented ? Rules ,Diagram ,Explanation 4. Multiply the following pair of signed 2's complement number using Bit pair recoding of the multipliers. A = 010111 B = 101100 38

5.Perform division on the following 5-bit unsigned integer using Restoring and NonRestoring division:10101 / 00101 6. Booth Algorithm Booth multiplication table,advantage,example;

UNIT III PIPELINING & BASIC PROCESSING UNIT


1.Microprogrammed control unit.
1. Draw a flowchart of a micro routine for the instruction Add src, Rdst. 2. Diagram for basic organization 3. Explanation Flowchart for Add Src, Rdst

2.Draw the organization of a single-bus processor and give the control sequences
1. 2. 3. 4. fetching a word from memory, storing a word in memory , executing a complete instruction and unconditional and conditional branch. Diagram Control Sequences

3.Explain the basic organization of a Hardwired control unit. Mention its advantages and disadvantages.
1. 2. 3. 4. Diagram for basic organization Explanation Advantages Disadvantages

4.Explain the various types of hazards in pipelining?


1. Instruction hazard 2. Data hazard 3. Structural hazard 4. Control hazard

5. Write notes on super scalar operation? Explanation Diagram

39

UNIT IV MEMORY SYSTEMS


1. Write notes on semiconductor RAM memories.
1. 2. 3. 4. Internal organization of memory chips Static memories Asynchronous DRAMs Synchronous DRAMs

2. Write notes on various types of ROMs.


1. 2. 3. 4. 5. ROM PROM EPROM EEPROM Flash Memory

3. What are the various types of cache mapping mechanisms? Explain in detail.
1. Direct mapping 2. Associative mapping 3. Set Associative mapping

4. Write notes on Virtual memories.


1. Address Translation 2. Diagram 3. Explanation

5. Write notes on secondary storage devices.


1. Magnetic hard disks 2. Floppy disks 3.Optical disks 4.DVD 5.Magnetic tape systems

UNIT V INPUT /OUTPUT INTERFACES


1.Explain the various methods available to handle multiple devices using interrupts? Vectored interrupt Interrupt nesting Simultaneous requests 2.Write notes on interrupts in operating system? Explanation

40

3.Explain DMA and the different types of bus arbitration mechanisms. Digram Explanation Centralized arbitration Decentralized arbitration 4.write notes on the following i. PCI ii. SCSI iii. USB Explanation ,Diagram

---------ALL THE BEST---------------------------------------------------------------

41

Vous aimerez peut-être aussi