Vous êtes sur la page 1sur 13

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

Intel Core 2
De Wikipedia, la enciclopedia libre La marca Core 2 se refiere a una gama de CPU comerciales de Intel de 64 bits de doble ncleo y CPU 2x2 MCM (Mdulo Multi-Chip) de cuatro ncleos con el conjunto de instrucciones x86-64, basado en el Core microarchitecture de Intel, derivado del procesador porttil de doble ncleo de 32 bits Yonah.Nota 1 El CPU 2x2 MCM de cuatro ncleos1 tena dos matrices separadas de dos ncleos (CPU) -uno junto al otro- en un paquete MCM de cuatro ncleos. El Core 2 releg la marca Pentium a un mercado de gama medio-bajo, y reunific las lneas de sobremesa y porttiles, las cuales previamente haban sido divididas en las marcas Pentium 4, D, y M. La microarquitectura Core regres a velocidades de CPU bajas y mejor el uso del procesador de ambos ciclos de velocidad y energa comparados con anteriores NetBurst de los CPU Pentium 4/D2 La microarquitectura Core provee etapas de decodificacin, unidades de ejecucin, cach y buses ms eficientes, reduciendo el consumo de energa de CPU Core 2, mientras se incrementa la capacidad de procesamiento. Los CPU de Intel han variado muy bruscamente en consumo de energa de acuerdo a velocidad de procesador, arquitectura y procesos de semiconductor, mostrado en las tablas de disipacin de energa del CPU

Intel Core 2 Duo


Microprocesador

Produccin Fabricante(s) Frecuencia de reloj de CPU Longitud del canal MOSFET Conjunto de instrucciones

2006 2009 Intel 1,06 GHz a 3,33 GHz

Velocidad de FSB 533 MT/s a 1600 MT/s 65 nm a 45 nm x86, MMX, SSE, SSE2, SSE3, SSSE3, x86-64, SSE4.1 (SSE4.1 es solo para procesadores basados en Penryn, Wolfdale, y Yorkfield) Socket T (LGA 775) Socket M (PGA 478) Socket P (PGA 478) Micro-FCBGA (BGA 479) Allendale Conroe Merom-2M Merom Kentsfield Wolfdale Yorkfield

Microarquitectura Intel Core Microarchitecture Zcalo(s)

Ncleo(s)

La marca Core 2 fue introducida el 27 de julio de 2006,3 abarcando las lneas Solo (un ncleo), Duo (doble ncleo), Quad (cudruple ncleos), y Extreme (CPU de dos o cuatro ncleos para entusiastas), durante el 2007.4 Los procesadores Intel Core 2 con tecnologa vPro (diseados para negocios) incluyen las ramas de doble ncleo y cuatro ncleos.5

Contenido
1 Duo, Quad, y Extreme 2 Ncleos 2.1 Conroe 2.2 Conroe XE
file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive Pgina 1 de 13

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

2.3 Conroe L 2.4 Allendale 2.5 Merom 2.6 Merom XE 2.7 Kentsfield 2.8 Kentsfield XE 2.9 Yorkfield XE 2.10 Penryn 2.11 Wolfdale 2.12 Yorkfield 3 Sucesores 4 Requerimientos de sistema 4.1 Compatibilidad con placas base 4.2 Mdulos de memoria sncronos 5 Errores de los chips de Intel 6 Precios 7 Nomenclatura y abreviaturas 8 Vase tambin 9 Notas 10 Referencias 11 Enlaces externos

Duo, Quad, y Extreme


Los CPU de marca Core 2 incluyen: doble ncleo (para sobremesas de gama alta y baja ), "Merom" (doble ncleo para porttiles), "Kentsfield" (cuatro ncleos para sobremesas), y sus variantes llamadas "Penryn" (doble ncleo para porttiles), "Wolfdale" (doble ncleo para sobremesas, doble ncleo de gama baja para sobremesas) y "Yorkfield" (cuatro ncleos para sobremesas). Nota 2 Los procesadores Core 2 poseen la Virtualization Technology -tecnologa de virtualizacin- (excepto los modelos T52x0, T5300, T54x0, T55x0 "B2", E2xx0, T2300E, E4x00, E7x00 y E8190), Execute Disable Bit, y SSE3. Su microarquitectura Core introdujo tambin SSSE3, Trusted Execution Technology, Enhanced SpeedStep, y Active Management Technology (iAMT2). Con un Thermal Design Power (TDP) de hasta solo 65 W, el Core 2 Conroe de doble ncleo consumi solo la mitad de la energa que los chips de Pentium D menos capaces pero tambin doble ncleo6 con un TDP de hasta 130 W 7 (un TDP alto requiere enfriamiento adicional que puede ser ruidoso o caro). Siendo tpico para los CPU, los CPU Core 2 Duo E4000/E6000, Core 2 Quad Q6600, Core 2 Extreme doble ncleo X6800, y cuatro ncleos QX6700 y QX6800 fueron afectados por errores de software menores 8 Familia de procesadores Intel Core 2 Sobremesa * Nombre clave Ncleos dual (65 nm) Fecha de salida Nombre clave Porttil Ncleos dual (65
Pgina 2 de 13

Fecha de salida

file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

Core 2 Duo

Conroe Allendale Wolfdale

nm) dual (65 nm) dual (45 nm) dual (65 nm) quad (65 nm) quad(45 nm) quad (65 nm) quad (45 nm)

Ago 2005 Ene 2007 Ene 2008

Merom Penryn

dual (65 nm) dual (45 nm) dual (65 nm) dual (45 nm) quad (45 nm) quad (45 nm) solo (65 nm) solo (45 nm)

Jul 2006 Ene 2008

Core 2 Extreme

Conroe XE Kentsfield XE Yorkfield XE Kentsfield

Jul 2006 Nov 2006 Nov 2007

Merom XE Penryn XE Penryn XE

Jul 2003 Ene 2008 Ago 2008

Core 2 Quad Yorkfield

Jan 2007 Mar 2008

Penryn

Ago 2008

Core 2 Solo

Versin de sobremesa no disponible

Merom Penryn

Sep 2007 May 2008

* Ordenados por fecha de salida Lista de microprocesadores Intel Core 2

Ncleos
Conroe
El primer ncleo de procesador de la marca Intel, Core 2 Duo, nombre en clave Conroe (cdigo de producto de Intel 80557), fue lanzado el 27 de julio de 2006 en Fragapalooza[1] (http://en.wikipedia.org/wiki/Fragapalooza) , un evento ldico anual en Edmonton, Alberta, Canad. Estos procesadores fueron fabricados en placas de 300mm usando un proceso de manufacturacin de 65nm, y optimizados para ordenadores de sobremesa, reemplazando las CPU Pentium 4 y Pentium D. Intel ha declarado que el ncleo Conroe proporciona un 40% ms de potencia con un consumo un 40% menor. Todos los ncleos Conroe son fabricados con 4 MiB de cach de nivel 2(L2), en cualquier caso debido a defectos de fabricacin o para hacer ms rentable su comercializacin, las versiones E6300 y E6400 basados en este ncleo, tienen la mitad de su cach deshabilitada, dejndolos con solo 2 MiB tiles de cach de nivel 2. Las CPU E6300 y E6400 basados en el ncleo Conroe tienen el B2 con stepping (secuenciacin). Los modelos altos de la gama, E6300 (1,86 GHz) y E6400 (2,13 GHz) ambos con un FSB de 1066 MHz fueron presentados el 27 de julio de 2006. Tradicionalmente, las CPU de la misma familia con menor cach simplemente tienen la cach restante deshabilitada, permitiendo su venta un precio ms bajo debido a estas taras. De este modo las mejoras se reducen a reemplazarlos por versiones que solo tienen la cach que se necesita en el ncleo idntico, para abaratar los costes de produccin. En su lanzamiento, el precio de Intel para los procesadores core 2 Duo E6300 y E6400 fueron de 183 y 224 dlares americanos respectivamente. Las CPU Conroe tienen mejores prestaciones sobre los modelos anteriores con velocidades de procesamiento similares. Segn las revisiones, la mayor cach de 4 MiB de
file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive Pgina 3 de 13

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

anteriores con velocidades de procesamiento similares. Segn las revisiones, la mayor cach de 4 MiB de nivel 2 contra la menor cach de 2 MiB L2 a la misma frecuencia y el mayor FSB pueden proveer de un beneficio de funcionamiento del 0-9% en algunas aplicaciones y del 0-16% para algunos juegos.9 Los modelos Core 2 Duo Conroe de gama alta son los E6600 (2,4ghz) y E6700 (2,67 GHz). La familia tiene 1066 MT/s de FSB, 4 MiB de cach L2 y 65 W de consumo. Estos procesadores se enfrentaron a los procesadores de gama alta disponibles de AMD (Athlon serie 64 fx) que fueron, antes de la ltima presentacin de Intel, las CPU ms rpidas disponibles. Los chips Conroe tambin experimentan una temperatura de salida mucho menor que sus predecesores - un beneficio de la nueva tecnologa de 65nm y la ms eficiente microarquitectura. En su lanzamiento, el precio de Intel para los procesadores Core 2 Duo E6600 y E6700 fueron de 316 y 530 US$ respectivamente. Las CPU Conroe E6320 y E6420 a 1,86 y 2,13 GHz respectivamente fueron presentadas el 22 de abril de 2007 contando con una cach completa de 4 MiB.
Procesador Intel Core 2 Duo E6600.

Intel lanz 4 procesadores Core 2 Duo adicionales el 22 de julio de 2007. Este lanzamiento coincidi con el de los chipsets Intel Bearlake(x3x). Los nuevos procesadores Core 2 Duo fueron llamados E6540, E6550, E6750 y E6850. Los procesadores cuyo nmero de serie termina en 50 tienen 1333 MT/s de FSB. Todos ellos cuentan con 4 MiB de cach L2. La frecuencia de reloj es similar a los procesadores ya presentados con los 2 primeros dgitos iguales (E6600, E6700, X6800). Una parte de Intel confi en la tecnologa de ejecucin y el soporte vPro. Estos procesadores fueron criticados frente a la lnea de procesadores AMD Stars y como consecuencia el precio baj en los procesadores con 1066 MB/s de FSB. Intel ha aclarado que los modelos E6300 y el E6400 son ncleos Conroe con la cach deshabilitada. El ncleo Allendale es de la serie de las CPU E4xx0.

Conroe XE
El ncleo Core 2 Extreme fue oficialmente presentado el 29 de julio de 2006. Sin embargo, algunos minoristas lo presentaron el 13 de julio de 2006 como una mayor primicia. Los modelos E6x00, los Core 2 Duo menos potentes, fueron programados para ser presentados simultneamente con el X6800, ambos disponibles en este momento. Potenciados con el ncleo Conroe XE, reemplazan al ncleo dual de los procesadores de la edicin Pentium Extreme Edition. Los Core 2 Extreme tienen una velocidad de reloj de 2,93 GHz y 1066 MT/s de FSB a pesar de que inicialmente se esperaba lanzarlos con 3,3 GHz y 1333 MT/s. El consumo de energa para esta familia es de 75 hasta 80w. Con SpeedStep[2] (http://en.wikipedia.org/wiki/SpeedStep) habilitado, la temperatura de la CPU en funcionamiento es bsicamente igual a la temperatura ambiente. El precio de lanzamiento de Intel para los Core 2 Extreme X6800 fue de US$999 cada uno en cantidades de 1000. Como la plataforma Core 2 Duo, este tuvo una cach L2 compartida de 4 MiB. Esto significa que la nica diferencia entre el Core 2 Duo y el Core 2 extreme es la velocidad de reloj y el multiplicador abierto, ventajas normales de la edicin Extreme. El multiplicador ascendente desbloqueado es solo para entusiastas o profesionales porque permite al usuario poner la velocidad de reloj ms alta que la carga de la frecuencia sin modificar el FSB a diferencia de los modelos Core 2 Duo que solo permiten desbloquear el factor descendiente.
file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive Pgina 4 de 13

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

Conroe L
El Conroe-L Celeron es un procesador de ncleo simple construido con la micro arquitectura de Intel Core y con una frecuencia de reloj mucho menor a la del Cedar Mill Celeron, pero an los supera en rendimiento. Est basado en los 65nm del ncleo Conroe-L y usa un modelo de secuencia de la serie 400, los FSB fueron incrementados de 533 MT/s a 800 MT/s en esta generacin, y el consumo energtico se decrement de 65 W a 35 W. Tradicionalmente los Celeron, no poseen el soporte para las instrucciones Intel VT. Todos los modelos Conroe-L son procesadores de ncleo simple son destinados al segmento de valor de mercado, donde gustan ms los AMD basados en el ncleo K8-Sempron. Esta lnea de productos fue lanzada el 5 de junio de 2007. El 21 de octubre de 2007, Intel present un nuevo procesador para su serie de placas madres Intel Essential. El nombre completo del procesador es Celeron 220 y esta soldado a una placa base D201GlY2. Con 1,2 GHz y 512 KiB de cach (L2), posee un consumo energtico de 19 W y puede hacer uso de refrigeracin pasiva. El Celeron 220 es el sucesor del Celeron 215 que est basado en un ncleo Yonah y usado en la placa base D201GlY. Este procesador es usado exclusivamente en las placas Mini-ITX[3] (http://en.wikipedia.org/wiki/Mini-ITX) apuntando al segmento de mercado de subvalor. 10

Allendale
Haba discusin sobre la disponibilidad del modelo de procesadores Core 2 Duo para sobremesas (desktop) (E6300 a 1,86 GHz y E6400 a 2,13 GHz ambos con cach de 2 MiB L2) ambos provistos de un ncleo Allendale. Antes del Q1 de 2007, todos los procesadores E6300 y E6400 que aparecieron eran ncleos Conroe (4 MiB de cach L2) que tienen la mitad de su cach de nivel 2 deshabilitada. El ncleo Allendale se fabrica con 2 MiB de cach en total, ofreciendo un tamao ms pequeo y producciones por lo tanto mayores. Extracto de The Tech Report: Existen muchas fuentes que afirman que el nombre en cdigo para los procesadores Intel Core 2 Duo con 2 MiB de cach L2 es Allendale, pero Intel los llama de otra manera. Estas CPU todava son Conroe, lo cual posee sentido, ya que utilizan los mismos chips con la mitad de su cach L2 deshabilitada. Intel bien puede trabajar con un chip Allendale con 2 MiB de cach L2 nativa, pero esto no es lo tpico para este chip.11 Otra diferencia entre la serie Premium E6000 (ncleo Conroe) y la serie e4000 (ncleo Allendale) est en la frecuencia de reloj del bus norte. La serie E4000 es capaz de trabajar con un FSB de 200 MHz quadpumped (consultar Pumping) a 800 MT/s, mientras que la serie E6000 trabaja con un bus norte de 266 MHz quad-pumped a 1066 MT/s. La serie E4000 slo posee una carencia en cuanto al soporte para las instrucciones VT de Intel El Core 2 Duo E4300 utiliza un ncleo Allendale y fue lanzado el 21 de enero de 2007. Los procesadores Allendale usan una mscara menor con solo 2 MiB de cach, incrementando el nmero de transistores por sector. Los procesadores Allendale son producidos segn el factor de forma LGA775 [4] (http://en.wikipedia.org/wiki/LGA775) , sobre un nodo de 65nm. Las CPU E6300 y E6400 se han fabricado sobre la base de un Conroe de 4 MiB de cach y un Allendale de 2 MiB. La secuenciacin es distinta segn el chip usado, los basados en Conroe usan secuenciacin B2 y los basados en Allendale, usan L2.
file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive Pgina 5 de 13

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

El precio por procesador fue inicialmente de 163 dlares americanos para el E4300. El precio estndar para venta OEM era de 175 dlares americanos, y 189 para el paquete retail. El 22 de abril de 2007 el precio fue rebajado hasta los 133 dlares para el E4400 y 113 dlares para el E4300. Los procesadores Allendale con media cach L2 deshabilitada fueron lanzados a mediados de junio de 2007 bajo el nombre Intel Pentium Dual-Core. La cach til fue reducida a la mitad otra vez cuando el ncleo Allendale fue lanzado bajo el nombre Intel Celeron; el Celeron E1200 tiene 512 KiB de cach L2 compartida entre sus dos ncleos. El 22 de julio de 2007, fue lanzado el Allendale E4500, retirando progresivamente al modelo E4300. Esto fue acompaado de una rebaja en el precio del modelo E4400.

Merom
Merom, la primera versin porttil del Core 2, fue oficialmente presentada el 27 de julio de 2006 pero silenciosamente comenz a llegar a manos de los fabricantes de PC a mediados de Julio junto al ncleo Conroe.12 Merom se hizo con la primera lnea de Intel de procesadores para porttiles, con los mismos rasgos de Conroe, pero con ms nfasis sobre el consumo de electricidad bajo para mejorar la duracin de la batera del porttil. El ncleo Merom basado en Core 2 Duo proporciona un leve aumento de rendimiento con renderizacin 3D y medios codificadores, manteniendo la misma duracin de la batera que el ncleo Yonah basado en Intel Core Duo. Merom es el primer procesador de Intel para porttiles que implementa la arquitectura Intel 64. La primera versin del Merom es compatible con la plataforma Napa de Intel Core Duo, siendo necesaria la actualizacin de la BIOS. Posee un consumo energtico similar de 34 W y un FSB de 667 MHz. El chip Merom incorpora 4 MiB de cach L2, la mitad de sta desactivada en la serie T5xx0. Una versin del Merom con 2 MiB de cache L2 nativos, llamada Merom-2M, fue lanzada al mercado a principios de 2007. El ncleo Merom-M2 usa secuenciacin L2 y M0; las versiones con voltaje extremadamente bajo del Core 2 Duo incorporan este ncleo. Una segunda oleada de microprocesadores Merom que incorporaban un FSB de 800 MHz y usaban el nuevo Socket P fue lanzada el 9 de mayo de 2007. Estos chips forman parte de la plataforma Santa Rosa. Versiones de bajo voltaje fueron lanzadas el 9 de mayo de 2007. El primer Core 2 Solo fue lanzado en el tercer trimestre de 2007; se trataba de los chips U2100 y U2200, que corren a 1,6 y 1,2 GHz respectivamente. Ambos incorporan un FSB de 533 MHz y forman parte de la familia Intel ULW, consumiendo apenas 5W, y soportan 64 bits, como el resto de la familia. Fueron lanzados con compatibilidad para la plataforma Napa en detrimento de la plataforma Santa Rosa debido a trminos de consumos. Merom es una palabra hebrea que designa un plano superior en existencia al cielo, BaMerom significa en los cielos. El nombre fue escogido por el equipo de Intel en Haifa, Israel, quienes disearon este procesador. Consulte la seccin Merom en la lista de procesadores Intel Core 2.

Merom XE
El procesador Core 2 Extreme Mobile, basado en el ncleo Merom XE, es un procesador para porttil de alto rendimiento. Lanzado en dos modelos, el X7900 y el X7800, incorpora un FSB a 800 MHz. El X7800,
file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive Pgina 6 de 13

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

lanzado el 16 de julio de 2007,13 corre a 2,6 GHz y cuesta alrededor de 851 dlares americanos para instalaciones de fbrica. Este procesador incorpora un consumo energtico de 44 W y est incluido en nueva plataforma Intel Centrino (Santa Rosa). El X7900, lanzado el 22 de agosto de 2007, corre a 2,8 GHz. El X7900 fue incorporado en los MacBook de venta al pblico lanzados el 7 de agosto de 2007.

Kentsfield
El Kentsfield, lanzado el 2 de noviembre de 2006, fue el primer procesador de cuatro ncleos de Intel para sobremesas, denominado Core 2 Quad(y Xeon, para servidores y estaciones de trabajo). El tope de gama Kentsfield era un Core 2 Extreme numerado QX6xx0. Todos ellos incorporaban dos cachs de 4 MiB L2. El buque insignia, en Core 2 Quad Q6600, que corre a 2,4 GHz, fue lanzado el 8 de enero de 2007 al precio de US$ 851 (reducidos a 530 el 7 de abril de 2007). El 22 de julio de 2007 fue la fecha elegida para el lanzamiento del Q6700 junto con el Extreme QX6850, ambos del tipo Kentsfield, al precio de US$ 530 y 999 respectivamente, y conjuntamente a una bajada de precio del Q6600 hasta los 267 dlares. De manera anloga a los ncleos denominados Pentium D, los Kentsfield conjuntaban dos chips, cada uno de ellos equivalente a un Core 2 Duo, sobre un MCM. Esto repercuta sobre el precio final, reducindolo, pero con un peor tratamiento de datos sobre el puente norte comparado con una arquitectura de chips independientes, como es el caso de los AMD Quad FX. Adems, como pudo predecirse por la configuracin MCM, las potencias mximas de los Kentsfield (QX6800 130 W, QX6700 130 W,] Q6600 105 W) eran el doble de sus equivalentes en velocidad Core 2 Duo. Los mltiples ncleos de los Kentsfield permitan una mejora sobre aplicaciones cuya descomposicin es ms fcil (como es el caso de la transcodificacin de audio y video, compresin de datos, edicin de video, renderizado 3D y trazado de rayos). Por concretar un ejemplo, los videojuegos multitarea como Crysis y Gears of War que deben ejecutar mltiples tareas simultneas como la inteligencia artificial, audio y fsicas del juego se benefician de los cuatro ncleos. En muchos casos, la velocidad de proceso puede verse mejorada en funcin de la disponibilidad de mltiples ncleos. Esto debera ser considerado a la hora de limitar el nmero de ncleos en los procesadores presuponiendo el nivel de desarrollo del software de usuario. Retomando el ejemplo, algunas pruebas han demostrado que Crysis falla al intentar aprovecharse de ms de dos ncleos simultneamente. Por otra parte, el impacto de esta caracterstica sobre el rendimiento general del sistema puede verse significativamente reducido en sistemas que trabajen frecuentemente con tareas no relacionadas entre ellas como sistemas multiusuario o entornos que ejecuten tareas en segundo plano mientras el usuario se encuentra activo. Todava existen sobrecargas relacionadas con la ejecucin de mltiples procesos o tareas y su coordinacin a la hora de distribuir la carga en varias CPU. Finalmente, a nivel de hardware, existen problemas de comunicacin y acceso a recursos por ejemplo en la ejecucin de tareas que acceden simultneamente a memoria o a recursos de entrada y salida.

Kentsfield XE
El primer Kentsfield XE, denominado Core 2 Extreme QX6700 (cdigo de producto 80562) y cuya velocidad es de 2,67ghz, fue lanzado al mercado el 2 de noviembre de 2006 al precio de US $999.14 Incorpora el ncleo Kentsfield XE, como complemento se lanz el Core 2 Extreme X6800 de doble ncleo basado en el ncleo Conroe XE. Como los dobles ncleos Extreme, los procesadores con el ncleo Kentsfield XE incorporaban los multiplicadores desbloqueados.
file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive Pgina 7 de 13

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

El Core 2 Extreme QX6800 que corra a 2,93 GHz fue lanzado el 8 de abril de 2007 al precio de US $1199. Tiene un gasto energtico de 130 W], y est hecho para equipos de gama alta.. El Core 2 Extreme QX6850 que corra a 3 GHz fue lanzado el 22 de julio de 2007 al precio de US$ 999. Implementa un FSB ms rpido de 1333 MHz- Simultneamente, el anteriormente disponible Extreme QX6700 fue reducido de precio.

Yorkfield XE
El 11 de noviembre de 2007, Intel lanz al mercado el primer procesador Yorkfield XE, Core 2 Extreme QX9650. Es el primer procesador de Intel para sobremesas en usar tecnologa de 45nm y enclaves metlicos. Los Yorkfield incorporan chips duales con dos cachs L2 de 6 MiB unificadas. Tambin, soporta 1333 MHz de FSB y un reloj interno de 3 GHz. Incorpora adems instrucciones de tipo SSE4.1 y cuenta con un total de 820 millones de transistores en chips de 2x107 mm.

Penryn
El sucesor para el ncleo Merom, usado en la serie porttil Core 2 Duo, cuyo nombre en clave es Penryn, debut en los procesos a 45nm. En abril de 2007 aparecieron muchos detalles sobre Penryn en el Intel Developer Forum (Foro de Desarrolladores de Intel). Su sucesor se espera que sea el Nehalem. Importantes avances15 como la inclusin de instrucciones de tipo SSE4 (tambin conocidas como Nuevas Instrucciones Penryn, prensentes en toda la serie excepto los T4000), reduccin de los tiempos de latencia entre ncleos para una mejor y ms eficiente interconexin entre estos, nuevos materiales para la fabricacin (los ms significativos son los dielctricos de alta temperatura basados en hafnio), entre otras mejoras de arquitectura. El Penryn va a la par con la serie Bearlake para sobremesas de Intel de 2007, algunos de los cuales incrementan su velocidad del bus (conexin con el puente norte, etc.) a 1333 MHz y soportan DDR SDRAM. En porttiles y otros equipos mviles, Penryn soporta DDR3. Los nuevos Intel de 45nm basado en Penryn, denominados Core 2 Duo y Core 2 Extreme, fueron lanzados el 6 de enero de 2008. Los nuevos procesadores consumen slo 35W, y el modelo T9500 concretamente, fue lanzado para porttiles con compaas como HP, cuyos primeros modelos fueron puestos a la venta con 2,6 GHz a finales de enero de 2008. Intel lanz un chip exclusivo para Apple el 28 de abril de 2008 que incrementa la velocidad hasta 3,06 GHz y el FSB hasta los 1066 MHz, cambiando la cach L2 compartida a 6 MiB. El acceso a la serie Penryn comienza con los T4000, con 1 MiB de cach L2 y FSB 800 Mhz y finaliza con la serie T9000, con 6 MiB de cach L2 y FSB que va desde 800 MHz hasta los 1066 MHz.

Wolfdale
Wolfdale el nombre en clave para las series Celeron E3000, Pentium E5000 y E6000, y Core 2 Duo E7000 y E8000 para sobremesas, basados en Penryn y superiores a los chips Conroe, con mejor consumo, menores temperaturas y mayor rendimiento comparados bajo una misma gama, indistintamente de la velocidad del bus y la cantidad de cach. Lanzados el 20 de enero de 2008, incorporan dos ncleos de procesamiento fabricados
file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive Pgina 8 de 13

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

20 de enero de 2008, incorporan dos ncleos de procesamiento fabricados en un soporte de 45nm e incluyen las extensiones SSE4.1 (excepto la totalidad de Pentium y Celeron, que comprenden las series E3000, E5000 y E6000). Su primer exponente ha sido el E8400, el cual consta de una cach de 6 MiB. Esta primera revisin de Wolfdale era conocida como C0. Core 2 Duo Wolfdale E7200. Luego, con la salida del E8500 y E8600 llegara una revisin mejorada denominada E0, la cual precisa menos voltaje a una misma frecuencia, permitiendo mejores temperaturas de funcionamiento y mayor margen de overclock. Al mismo tiempo de la salida de los hermanos mayores de la familia Wolfdale, llegaban los modelos E7000, corriendo a 2,53 GHz en su exponente ms bsico, contando con 3 MiB de cach de nivel 2 y 1066 MHz de FSB. Seguidamente aparecieron los Wolfdale serie E5000, con los que Intel recuper la denominacin Pentium para nombrar esta serie. Los Wolfdale E5000 carecen de instrucciones SSE4.1, poseen 2 MiB de cach de nivel 2 y 800 MHz de FSB corriendo a 2,5 GHz en su modelo ms bsico, el Pentium E5200. Posteriormente Intel lanza bajo la denominacin Celeron la serie E3000, que son bsicamente Wolfdale que carecen de SSE4.1, con 1MiB de cach de nivel 2 y 800 MHz de FSB corriendo a 2.4 GHz en su modelo ms bsico, el Celeron E3200.

Yorkfield
Yorkfield (nombre en clave para las series Q8000, Q9000 y QX9000) incorporan chips duales de doble ncleo con dos cachs de nivel 2 de 6 MiB unificadas. Versiones ms recientes fueron lanzadas con dos cachs de nivel 2 de 3 MiB unificadas y con dos cachs de nivel 2 de 2MiB unificadas, pero se desconoce si se trata de cachs de 6 MiB con una parte deshabilitada o son versiones con 3 MiB y 2 MiB nativos diseados para reducir costos de produccin. Tambin incorporan soporte para FSB a 1333 MHz. Estos procesadores fueron puestos a la venta a finales de mayo de 2008, empezando por el Q9300 y Q9450. Las CPU Yorkfield esperaban ser lanzadas en enero de 2008, pero fueron retrasadas hasta el 15 de mayo. Inicialmente se atribuy este retraso a un fallo del chip; ms tarde se descubri que se trataba de asegurar la compatibilidad con las placas de cuatro lminas impresas usadas en gran parte de las placas. En Intel Developer Forum de 2007, un Yorkfield fue comparado con un Kentsfield.16 17

Sucesores
El sucesor para el Penryn, basado en la micro arquitectura Core posterior que incluye funciones como el retorno de Hyper-Threading, es el "Core i7" basado en la microarquitectura Nehalem; fue anunciado en el IDF de septiembre de 2007, y su aparicin no se espera hasta antes de finales de 2008. Los Intel basados en Nehalem-Bloomfield sern lanzados en septiembre junto con los chipsets X58. La placa de 32nm del Nehalem se denomina Westmere. Sandy Bridge ser desarrollado sobre 32 nm con una nueva micro arquitectura sobre 2010. En 2011, Intel lanzar el primer procesador sobre una placa de 22nm. Basndose en el ciclo de Intel de alternar nuevas arquitecturas y nuevas placas cada dos aos, actualmente est asumido que Sandy Bridge constituir una nueva plataforma.

Requerimientos de sistema
Compatibilidad con placas base
Conroe, Conroe XE y Allendale usan el Socket LGA775; no obstante, no todas las placas base soportan todos los procesadores.
file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive Pgina 9 de 13

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

todos los procesadores. Los chipsets soportados son: Intel: 865PE/G/GV/G, 945P/PL/G/GZ/GC, 965P/G, 975X, P/G/Q965, Q963, 946GZ/PL, P3x, G3x, Q3x, X38, X48, P4x , 5400 Express NVIDIA: nForce4 Ultra/SLI X16 para Intel, nForce 570/590 SLI para Intel, nForce610i-7050 650i Ultra/650i SLI/680i LT SLI/680i SLI y nForce 750i SLI/780i SLI/790i SLI/790i Ultra SLI. VIA: P4M800, P4M800PRO, P4M890, P4M900, PT880 Pro/Ultra, PT890. SiS: 662, 671, 671fx, 672, 672fx ATI: Radeon Xpress 200 y CrossFire Xpress 3200 para Intel Vea tambin: Lista de chipsets Intel El actual Yorkfield XE, modelo QX9770 (45 nm con FSB de 1600 MHz) tiene compatibilidad slo con algunos chipsets: con X38, P35 (con overclocking) y algunos de alto rendimiento como X48 y P45. De manera escalonada se liberan actualizaciones para BIOS que habilitan el soporte para la nueva tecnologa Penryn, y el nuevo QX9775 es compatible nicamente con D5400XS an y su placa base puede manejar dos de ellos. A pesar de que una placa base posea el chipset necesario para soportar el ncleo Conroe, algunas de ellas no lo soportan. Esto lo causa el requerimiento de estos procesadores de energa, que se especifica en el Voltage Regulator-Down (VRD) 11.0. Este requerimiento es el resultado del menor consumo de los ncleos Conroe, comparado con los Pentium 4 y D a los que reemplaza. La mayora de las placas soportan los ncleos Conroe con una simple actualizacin de la BIOS que permita reconocer el FID (Frequency ID) de los Conroe y el VID (Voltaje ID).

Mdulos de memoria sncronos


Al contrario que los anteriores Pentium 4 y Pentium D, la tecnologa del Core 2 muestra el gran beneficio obtenido al usar memoria sincronizada con el FSB. Esto significa que para una CPU de tipo Conroe con un FSB a 1066 MHz, la memoria ideal es una DDR2 PC2-4200 o PC2-8500. En algunas configuraciones, el uso de una PC2-5300 puede realmente reducir el rendimiento. A pesar de que las memorias DDR2 con velocidades superiores ofrecen incrementar el rendimiento, la diferencia real sobre juegos y aplicaciones es apenas notable. 18 Procesadores emparejados e ndices de RAM Memoria emparejada y ancho de banda mximo "Front Modelo de procesador un canal/ dos canales Side Bus" DDR1 DDR2 DDR3 Porttiles: T5200, T5300, U2n00, U7n00 Sobremesas: E6n00, E6n20, X6n00, E7n00, Q6n00 and QX6n00 Porttiles: T9400, T9600, X9100, P7350, P8400, P8600, P9500 533 MT/s PC-2100 PC2-4200 (DDR-266) (DDR2-533)
2.133 GB/s / 4.267 GB/s 4.264 GB/s / 8.528 GB/s

PC3-8500 (DDR3-1066)
8.530 GB/s / 17.060 GB/s

1066 MT/s

PC2-8500 (DDR2-1066)
8.500 GB/s / 17.000 GB/s

file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive

Pgina 10 de 13

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

Porttiles: T5n00, T5n50, T7n00, L7200, L7400 667 MT/s Sobremesas: E6n40, E6n50, E8nn0, Q9nn0, QX6n50, QX9650 Porttiles: T5n70, T7n00 (Socket P), L7300, L7500, X7n00, T8n00, T9300, T9500 Sobremesas: E4n00, Pentium E2nn0, Celeron 4n0 Sobremesas: QX9770, QX9775 1333 MT/s 800 MT/s

PC-2700 PC2-5300 (DDR-333) (DDR2-667)


2.667 GB/s / 5.334 GB/s 5.336 GB/s / 10.672 GB/s

PC3-10600 (DDR3-1333)
10.670 GB/s / 21.340 GB/s

PC-1600 PC2-3200 (DDR-200) (DDR2-400)


1.600 GB/s / 3.200 GB/s 3.200 GB/s / 6.400 GB/s

PC3-6400 (DDR3-800)
6.400 GB/s / 12.800 GB/s

1600 MT/s

PC-3200 PC2-6400 (DDR-400) (DDR2-800)


3.200 GB/s / 6.400 GB/s 6.400 GB/s / 12.800 GB/s

PC3-12800 (DDR3-1600)
12.800 GB/s / 25.600 GB/s

En trabajos que requieren grandes montos de acceso a memoria, los procesadores Core 2 de cuatro ncleos se pueden beneficiar significativamente 19 del uso de una memoria PC2-8500, la cual funciona exactamente al doble de la velocidad del FSB; no es una configuracin oficialmente soportada, pero un buen nmero de placas base lo ofrecen. El procesador Core 2 no requiere el uso de memorias DDR2. Mientras que los chipsets Intel 975X y P965 la necesitan, algunas placas y chipsets soportan Core 2 sobre memoria DDR. En estos casos, el rendimiento puede reducirse debido al bajo ancho de banda de comunicacin disponible de la memoria.

Errores de los chips de Intel


La unidad de manejo de memoria (MMU) de los Core 2 en los procesadores X6800, E6000 y E4000 no opera en sistemas antiguos que implementen generaciones de hardware x86. Esto causa problemas, la mayora de ellos de seguridad y estabilidad, incluso con software operativo disponible. Intel informa que en los prximos meses se actualizarn los manuales de programacin con informacin sobre los mtodos recomendados para manejar el TLB (Translation Lookaside Buffer) de los Core 2 para evitar problemas, y admite que en casos aislados, los fallos del TLB pueden causar comportamiento impredecible del sistema, como cuelgues o informacin incorrecta.20 Algunos problemas conocidos: Proteccin contra escritura o bits de no ejecucin ignorados. Instrucciones de coma flotante incoherentes. Posibilidad de corromper la memoria fuera de rango permitiendo a un proceso escribir secuencias comunes de instrucciones. Las erratas de Intel Ax39, Ax43, Ax65, Ax79, Ax90, Ax99 son particularmente serias. Concretamente, las 39, 43, y 79, que pueden causar comportamiento impredecible del sistema o cuelgue permanente, se han corregido en recientes pasos. Algunos de los que han calificado esta errata como particularmente seria son Theo de Raadt de OpenBSD y Matthew Dillon de DragonFly BSD. Para contrastar las visiones sobre el tema, Linus Torvalds calific el fallo TLB absolutamente insignificante, a lo que aadi El mayor problema es que Intel debera haber documentado el comportamiento del TLB mucho mejor. Microsoft ha elaborado la actualizacin KB936357 para corregir la errata en el micro cdigo sin prdida de
file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive Pgina 11 de 13

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

rendimiento. 21 Existen actualizaciones para BIOS que corrigen este problema.

Precios
Los precios para los varios modelos de Core 2, en su fecha de lanzamiento, se pueden encontrar en la lista de procesadores Intel Core 2. Cabe aadir que estos precios son vlidos para fabricantes como Apple Inc., Dell y HP. No hay precios de referencia para venta al pblico, si bien es cierto que los precios finales normalmente no se alejan del precio para mayoristas, pero depende de la oferta y la demanda y el margen de beneficio que se reserve el vendedor.

Nomenclatura y abreviaturas
Con el lanzamiento del procesador Core 2, la abreviatura C2 se ha vuelto de uso comn, con sus variantes C2D (el presente Core 2 Duo), y C2Q, C2E para referirse a los Core 2 Quad y Core 2 Extreme respectivamente. C2QX se refiere a los Extreme-Edition de los Quad (QX6700, QX6800, QX6850).

Vase tambin
Anexo:Chipsets Intel

Notas
1. El chip de silicio del Yonah o matriz se compona de dos ncleos interconectados, cada uno similar a los Pentium M. 2. Para los CPU de servidores y estaciones de trabajo "Woodcrest", "Clovertown", y "Tigerton" ver la marca Xeon. Intel Unleashes New Server Processors That Deliver World-Class Performance And Power Efficiency (http://www.intel.com/pressroom/archive/releases/20060626comp.htm) .

Referencias
1. Intel Clovertowns step up, reduce power (http://www.tgdaily.com/content/view/33708/135/) . TG Daily. Consultado el 05-09-2007. 2. Penryn Arrives: Core 2 Extreme QX9650 Review (http://www.extremetech.com/article2/0,1697,2208241,00.asp) . ExtremeTech. Consultado el 30-10-2006. 3. Intel Unveils World's Best Processor (http://www.intel.com/pressroom/archive/releases/20060727comp.htm? cid=rss-83642-c1-135841) . Intel. Consultado el 14-08-2007. 4. Intel to unify product naming scheme (http://www.tgdaily.com/content/view/33234/122/) . TG Daily. http://www.tgdaily.com/content/view/33234/122/. Consultado el 06-08-2007. 5. Intel Centrino 2 with vPro technology and Intel Core2 processor with vPro technology (http://download.intel.com/products/vpro/whitepaper/crossclient.pdf) (PDF). Intel. Consultado el 07-08-2008. 6. The 65 nm Pentium D 900's Coming Out Party: Test Setup (http://www.tomshardware.com/2006/01/05/the_65_nm_pentium_d_900s_coming_out_party/page6.html) . Tom's Hardware. Consultado el 15-06-2007. 7. The 65 nm Pentium D 900's Coming Out Party: Thermal Design Power Overview (http://www.tomshardware.com/2006/01/05/the_65_nm_pentium_d_900s_coming_out_party/page5.html) . Tom's Hardware. Consultado el 15-06-2007. 8. Analysis: How serious are the bugs in Intel's Core 2 Duo? (http://www.tgdaily.com/content/view/32745/137/) . TG Daily. Consultado el 03-07-2006.
file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive Pgina 12 de 13

Intel Core 2 - Wikipedia, la enciclopedia libre

27/01/12 05:54 p.m.

9. Core 2 Duo: 2 or 4 MiB cache? (French) (http://www.matbe.com/articles/lire/306/merom-et-conroe-test-descore-2-duo/page13.php) . Matbe. Consultado el 29-06-2006. 10. NEW CELERON 220 (http://xtreview.com/addcomment-id-3556-view-New-Celeron-220.html) . xtreview (15-10-2006). Consultado el 22-10-2007. 11. Cool and Cheap Screamers from Intel and AMD (http://techreport.com/reviews/2006q3/e6300-vs-sff/index.x? pg=1) . The Tech Report (08-08-2006). Consultado el 22-11-2006. 12. Intel's Core 2 Duo chips arrive early (http://macnn.com/articles/06/07/21/merom.conroe.ship.early/) . MacNN. Consultado el 21-07-2006. 13. Intel Takes Popular Laptops to 'Extreme' with First-Ever Extreme Edition Mobile Processor; Adds New Desktop Chip (http://www.intel.com/ca/pressroom/2007/0716.htm) . Intel News Release. 16 de julio de 2007. http://www.intel.com/ca/pressroom/2007/0716.htm. Consultado el 30-08-2007. 14. Intel's Core 2 Extreme QX6700: The Multi-core Era Begins (http://www.anandtech.com/cpuchipsets/showdoc.aspx?i=2866) . AnandTech. Consultado el 11-11-2006. 15. Report on Penryn Series Improvements. (http://www.intel.com/technology/magazine/archive/tim1006.pdf) (PDF). Technology@Intel Magazine (October de 2006). Consultado el 28-08-2007. 16. IDF kickoff: Going after AMD, and beyond (http://www.tgdaily.com/content/view/31642/135/) . TG Daily. Consultado el 18-04-2007. 17. Intel won't launch 45 nm desktop CPUs until 2008? (http://www.reghardware.co.uk/2007/02/02/intel_45nm_desktops_until_q1_08/) . The Register. Consultado el 0303-2007. 18. Intel Core 2: Is high speed memory worth its price? (http://www.madshrimps.be/gotoartik.php?articID=472) . Madshrimps. Consultado el 01-08-2006. 19. Benchmarks of four Prime95 processes on a quad-core (http://www.mersenneforum.org/showpost.php? p=106531&postcount=25) . Mersenne Forum. Consultado el 22-05-2007. 20. Dual-Core Intel Xeon Processor 7200 Series and Quad-Core Intel Xeon Processor 7300 Series (http://download.intel.com/design/processor/datashts/31327807.pdf) (PDF) pgs. 46. 21. support.microsoft.com/kb/936357 (http://support.microsoft.com/kb/936357)

Enlaces externos
Microarquitectura Intel Core (http://www.intel.com/technology/architecture-silicon/core/) Publicacin de Intel anunciando Core 2 (http://www.intel.com/pressroom/archive/releases/20060727comp.htm) Pgina de Intel Core 2 (http://www.intel.com/products/processor/core2/index.htm) Obtenido de http://es.wikipedia.org/w/index.php?title=Intel_Core_2&oldid=52918773 Categora: Microprocesadores Intel Esta pgina fue modificada por ltima vez el 12 ene 2012, a las 11:47. El texto est disponible bajo la Licencia Creative Commons Atribucin Compartir Igual 3.0; podran ser aplicables clusulas adicionales. Lee los trminos de uso para ms informacin. Wikipedia es una marca registrada de la Fundacin Wikimedia, Inc., una organizacin sin nimo de lucro.

file:///Users/yosvanis/Documents/Core%202%20/Intel%20Core%202%20-%20Wikipedia,%20la%20enciclopedia%20libre.webarchive

Pgina 13 de 13

Vous aimerez peut-être aussi