Vous êtes sur la page 1sur 26

CAPTULO 17 TCNICAS DIGITAIS SISTEMAS DE NUMERAO Os sistemas de numerao foram desenvolvidos na histria da humanidade atendendo s crescentes necessidades.

Inicialmente o homem, por convenincia utilizou-se dos dedos como forma de contagem, criando o sistema decimal. Com o advento do computador, outros sistemas vieram a ser criados, visando maior facilidade de representao interna codificada. Dentre os mais comuns podemos citar os sistemas Binrio, Octal e Hexadecimal, que adequam-se s necessidades ou funes internas de diversos equipamentos. O sistema decimal, porm, nunca foi deixado de lado como forma de representao numrica, convencionada para ns, humanos. Sistema decimal de numerao O sistema decimal um sistema de base 10, no qual existem dez algarismos para representao de uma quantidade: 0, 1, 2, 3, 4, 5, ........., 9. O menor algarismo de uma determinada base zero (0) e o maior igual a base menos 1 (10 1 = 9). No exemplo 1 a seguir temos um nmero na base 10. (583)10 Podemos decompor este nmero em potncia de dez, j que sua base 10 e fazendo isso teremos: (5 x 100) + (8 x 10) + (3 x 1) = 583 Neste exemplo podemos notar que o algarismo menos significativo (no caso o trs) multiplica-se a unidade (1 ou 100), o segundo algarismo (o oito) multiplica-se a dezena (10 ou 101) e o mais significativo (no caso o cinco) multiplica-se a centena (100 ou 102). A soma desses resultados ir representar o nmero. Exemplo 2: (1592)10 Decompondo o mesmo teremos: No sistema binrio a base 2 (b = 2) e existem apenas dois algarismos para representar uma determinada quantidade: o algarismo 0 (zero) e o algarismo 1 (um). Para representar a quantidade zero, utilizamos o algarismo 0, para representar a quantidade um, utilizamos o algarismo 1. No sistema decimal, ns no possumos o algarismo dez e representamos a quantidade de uma dezena utilizando o algarismo 1 (um) seguido do algarismo 0 (zero). Nesse caso, o algarismo 1 (um) significa que temos um grupo de uma dezena e o algarismo 0 (zero) nenhuma unidade, o que significa dez. No sistema binrio agimos da mesma forma, para representar a quantidade dois, utilizamos o algarismo 1 (um) seguido do algarismo 0 (zero). O algarismo 1 (um) significar que temos um grupo de dois elementos e o 0 (zero) um grupo de nenhuma unidade, representando assim o nmero dois. Exemplo: Seja o nmero (1011)2 e faamos a sua decomposio em potncia s que desta vez a base ser dois: 1 x 23 + 0 x 22 + 1 x 21 + 1 x 20 1000 + 000 + 10 + 1 = (1011)2 1 x 103 + 5 x 102 + 9 x 101 + 2 x 100 = 1592 1000 + 500 + 90 + 2 = 1592 Exemplo 3: (583,142)10 Notamos que no exemplo 3 temos um nmero com uma parte fracionria. Vejamos ento sua decomposio em potncia de dez: 5 x 102 + 8 x 101 + 3 x 100 + 1 x 10-1 + 4 x 10-2 + 2 x 10-3 ou 500 + 80 + 3 + 2 / 1000 ou ainda + 1 / 10 + 4 / 100

500 + 80 + 3 + 0,1 + 0,04 + 0,002 = = 583,142 Sistema binrio de numerao

17-1

Sistema octal de numerao No sistema octal a base oito e temos oito algarismos para representar qualquer quantidade. Esses algarismos so: 0, 1, 2, 3, ...7. Para a formao de um nmero, utilizam-se esses algarismos e toda vez que tivermos uma quantidade igual ao valor da base, soma-se um (1) ao algarismo de valor posicional imediatamente superior como fazemos no sistema decimal. Notamos tambm que, em qualquer base o maior algarismo igual ao valor da base menos um (1) e o nmero de algarismos sempre igual ao da base. Exemplo: Decompondo o nmero (361)8 em potncia de base oito temos: 3 x 82 + 6 x 81 + 1 x 80 3 x 100 + 6 x 10 + 1 x 1 =(361)8 Podemos escrever que a base elevada a uma determinada potncia igual a um (1 seguido de tantos zeros quantos forem os valores das potncias, assim temos: 23 = 1000 103 = 1000 83 = 1000 22 = 100 102 = 100 82 = 100 21 = 10 101 = 10 81 = 10

Hex A B C D E F

Dec 10 11 12 13 14 15

Exemplo: Tomemos o nmero (2C0A)16 e faamos sua decomposio. 2 x 163 + C x 162 + 0 x 161 + A x 160 ou 2 x 4096 + 12 x 256 + 0 x 16 + 10 x 1 = = 8192 + 3072 + 0 + 10 =

= (11274)10 Complemento de um nmero O complemento de um nmero o que falta a este nmero para atingir o valor da base. Exemplo: Complemento de (7)10 10 7 = 3

No sistema binrio para chegar-se ao complemento, obtem-se primeiramente o falso complemento. (1011)2 0100 Complemento falso

No sistema decimal, o nmero 100 aparece aps o nmero 99 na ordem crescente. No sistema binrio, o nmero 100 aparece aps o nmero 11 na ordem crescente. No sistema octal, o nmero 100 aparece aps o nmero 77 na ordem crescente. Sistema hexadecimal de numerao No sistema hexadecimal de numerao, a base dezesseis e dispomos de dezesseis algarismos para representao de uma determinada quantidade de coisas. Como existem apenas dez algarismos numricos utilizamos tambm algarismos alfanumricos. Portanto temos os seguintes algarismos: 0, 1, 2, 3,......9, A, B, C, D, E e F.

Complemento verdadeiro consiste em somar-se 1 (um) ao complemento falso. 0100 +1 0101 Converso de bases Converso para base decimal Para convertermos um nmero representado em qualquer sistema numrico, para o sistema decimal usamos a notao posicional e resolvemos a expresso como na base decimal. Seja o nmero 1101 no sistema binrio. A notao posicional seria:

17-2

1 x 23 + 1 x 22 + 0 x 21 + 1 x 20 = 1x8 + 1x4+0x2+1x1 = 8 + 4 + 0 + 1 = (13)10 Portanto (1101)2 = (13)10 Como segundo exemplo o nmero 107 do sistema octal. A notao posicional seria: 1 x 82 + 0 x 81 + 7 x 80 = 1 x 64 + 0 x 8 + 7 x 1 = 64 + 0 + 7 = (71)10 Portanto (107)8 = (71)10 Converso do sistema decimal para outras bases Para converso da base 10 para outras bases, o mtodo consiste em divises sucessivas pela base desejada, at que o quociente seja nulo. Os restos das divises indicaro o resultado da converso, sendo o primeiro resto equivalente ao dgito menos significativo e o ltimo ao mais significativo. Exemplo 1 Faamos a converso do nmero (934)10 para base hexadecimal.

Portanto (12 )10 = (1100)2 Contagem nas diversas bases Na tabela de contagem nos sistemas de base decimal, binria, octal e hexadecimal observa-se que um nmero expresso num sistema de base menor exige maior quantidade de algarismos do que outro, de base maior, para representar a mesma quantidade.
DECIMAL BINARIA OCTAL HEXADEC.

(10
934 1 resto 6 16

A)

58 16 2 resto 10 3 3 resto 3

16 0

Portanto (934)10 = (3A6 )16 Exemplo 2 Converso do nmero (76)10 para a base 8. 76 8 4 9 8 1 1 8 0 1 Portanto (76010 = (114 )8 Exemplo 3 Converso do nmero (12 )10 para a base 2. 12 0 2 6 0 2 3 1

2 1 1

2 0
17-3

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 31 32 63 64 99 100 127 128 255 256 -

0 1 10 = 21 11 100 = 22 101 110 111 1000 = 23 1001 1010 1011 1100 1101 1110 1111 10000=24 11111 100000=25 111111 1000000=26 1100011 1100100 1111111 10000000=27 11111111 100000000=28 -

0 0 1 1 2 2 3 3 4 4 5 5 6 6 7 7 1 10 = 8 8 11 9 12 A 13 B 14 C 15 D 16 E 17 F 20 10=161 37 1F 40 20 77 3F 2 100=8 40 143 63 144 64 177 7F 200 80 377 FF 400 100=162 3 1000=8

Cdigos Ao cdigos so formas de representao de caracteres alfanumricos. So vrios os cdigos existentes havendo porm vantagens de um ou outro, de acordo com a aplicao ou funes internas do equipamento. Cdigo BCD 8421 A sigla BCD representa as iniciais de Bynary Coded Decimal, que significa uma codificao no sistema decimal em binrio. Os termos seguintes (8421) significam os pesos de cada coluna, isto , 8 = 23, 4 = 22, 2 = 21 e
1 = 20. O valor corresponder soma dos pesos onde na coluna houver o bit um (1). DECIMAL 0 1 2 3 4 5 6 7 8 9 BCD 8 0 0 0 0 0 0 0 0 1 1 4 0 0 0 0 1 1 1 1 0 0 2 0 0 1 1 0 0 1 1 0 0 1 0 1 0 1 0 1 0 1 0 1

6 7 8 9

1 1 1 1

0 0 0 1

0 1 1 0

1 0 1 0

O cdigo Excesso 3 utilizado em circuitos aritmticos. Cdigo Johnson Baseia-se no deslocamento de bits e utilizado na construo do Contador Johnsos. DECIMAL 0 1 2 3 4 5 6 7 8 9 JOHNSON 0 0 0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 0 0 1 1 0 0 0 1 0 0 0 0

O nmero de bits de um cdigo o nmero de dgitos binrios que este possui. O cdigo BCD 8421 um cdigo de 4 bits. Cdigo excesso 3 Consiste na transformao do nmero decimal, no binrio correspondente, somando-se a ele trs unidades. Exemplo: (0)10 = (0000)2 Somando-se trs unidades, teremos 0011 DECIMAL 0 1 2 3 4 5 EXCESSO 3 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0
17-4

Cdigo Gray ou sistema de numerao refletido Sua principal caracterstica que, em contagens sucessivas, apenas um bit varia. A codificao Gray mostrada na tabela a seguir, onde os campos em destaque representam um espelho a ser refletido para a contagem seguinte, acrescentando-se um bit 1 (um) imediatamente esquerda. DECIM. 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 BINRIO 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1 0 0 0 0 GRAY 0 0 0 0 0 0 0 0 1 0 0 1 0 1 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 1 1 1 1 1 0 1 1 0 1 1 0 0 1 0 0 1 0 0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1

0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0

Este tipo de codificao garante que, com a variao de apenas um bit de uma contagem para outra, reduzam-se as conseqncias negativas geradas pela mudana de estado simultnea de registradores. Cdigo ASCII O cdigo ASCII um tipo de codificao BCD, largamente utilizado em computadores digitais e em equipamentos de comunicao de dados. A sigla ASCII formada pelas iniciais de American Standard Code for Information Interchange (Cdigo Padro Americano para Intercmbio de Informaes). Consiste de um cdigo binrio de sete bits para transferir informaes entre computadores e seus perifricos e em comunicao de dados a distncia. Com um total de sete bits, podemos representar 27 = 128 estados diferentes ou caracteres, que so usados para representar os nmeros decimais de 0 a 9, letras do alfabeto e alguns caracteres especiais de controle. formado por dois grupos de bits, sendo um de 4 bits e outro de 3 bits. Grupo de 4 bits

OPERAES BINRIAS A eletrnica em seus primrdios, tinha sus clculos baseados em lgebra convencional, atravs de sistemas analgicos ou lineares. Com o advento de mquinas mais sofisticadas, processadores eletrnicos, sistemas de comunicao e controle digitais, os problemas vieram a ser resolvidos baseados em lgebra especial, no linear, mas binria, isto , baseada em dois valores. a lgebra Booleana. Aritmtica binria As regras utilizadas em operaes binrias no sistema decimal, so tambm seguidas nas mesmas operaes em outros sistema de numerao. Neste capitulo trataremos de algumas tcnicas que tornam mais simples a efetuao destas operaes. Adio no sistema binrio Para efetuarmos a adio no sistema binrio, devemos agir como uma adio no sistema decimal, lembrando que no sistema binrio temos apenas dois algarismos. 1 0 0 1 a + b soma transporte ou carreamento

1 1 vai um 1 0 1 0 0 0 1 1 1 0 0 0

Grupo de 3 bits Formato do carter no Cdigo ASCII ASCII CARACTER 7 6 5 4 3 2 1 0 0 1 1 0 0 0 0 1 0 1 1 0 0 0 1 2 0 1 1 0 0 1 0 9 0 1 1 1 0 0 1 A 1 0 0 0 0 0 1 B 1 0 0 0 0 1 0 Z 1 0 1 1 0 1 0 a 1 1 0 0 0 0 1 b 1 1 0 0 0 1 0 z 1 1 1 1 0 1 0 Exemplos de representaes no cdigo ASCII

A tabela mostra a operao soma e o transporte em separado. O smbolo + o operador soma. Como 1 + 1 = 10 no sistema binrio, o resultado 0 (zero) e o transporte para a coluna imediatamente esquerda 1 (um). Esse transporte idntico ao do sistema decimal, pois quando tivermos uma soma igual ou maior que a base, haver um vai um que ser somado ao dgito de valor posicional imediatamente superior. Exemplo 1: 1 vai um a + b 1 1 a (11)2 + (10)2 = (101)2 + 3 + 2 = 5 1 1 b 1 0 1 soma

17-5

Exemplo 2: a + b (110)2 + (111)2 = (1101)2 + 6 + 7 = 13 1 1 vai um 1 1 0 a 1 1 1 b 1 1 0 1soma

Neste exemplo, seguindo-se as regras anteriores, observa-se que houve um emprstimo que ficou devedor. Nesta situao efetua-se a operao complemento , que consiste em inverter-se os bits 0 por 1 e vice-versa, somando-se 1 em seguida. 1 1 1 0 1 resultado parcial resultado invertido (complemento) resultado final

Exemplo 3 1 1 a + b 1 1 0 0 1 a 11001 + 1011 = 100100 + 25 + 11 = 36 1 0 1 1 b soma 1 0 0 1 0 0 Subtrao no sistema binrio- O mtodo de resoluo anlogo a uma subtrao no sistema decimal: 0 0 0 1 emprstimo 0 1 1 0 - 0 1 0 1 0 0 0 1 Exemplo 1 a - b 111 - 100 = 011 7 - 4 = 3 Exemplo 2 a - b 1000 - 111 = 1 8 - 7 = 1 1 emprstimo 1 0 0 0 a 1 1 1 b 0 0 0 1 diferena 1 1 1 a -1 0 0 b 0 1 1 diferena a b diferena

0 0 0 1 0 + 1 0 0 0 1 1 0 0 0 1 1

Multiplicao no sistema binrio- Procede-se como em multiplicaes no sistema decimal, tendo-se como regra bsica: 0 0 1 1 x x x x 0 1 0 1 = = = = 0 0 0 1

Exemplo 1 a x b 1000 x 1 = 1000 8 x 1 = 8 Exemplo 2 1 0 1 a x b x 10101 x 10 = 101010 0 0 0 21 x 2 = 42 1 0 1 0 produto 1 0 1 0 0 1 a 1 0 b 0 0 1 ____ 1 0 x 1 0 0 0 1 1 0 0 0 a b produto

Diviso no sistema binrio- Procede-se como em divises no sistema decimal. Exemplo:

Nos exemplos acima foram utilizados nmeros tais que a > b. Consideremos agora um caso com a < b. a b 10110 - 11001 = -00011 22 - 25 = -3 1 0 1 1 0 -1 -1 -1 1 1 0 0 1 1 1 1 0 1 a emprstimo b resultado parcial

a : b 111100 : 1100 = 101 60 : 12 = 5 1 - 1 0 1 1 0 0 0 1 0 1 0 1 1 0 1 1 1 0 1 1 0 0 0 1 1 0 0 1 0 1

0 0 0 0 0 0 0 0 resto

17-6

LGEBRA DE BOOLE Em meados do sculo passado G. Boole desenvolveu um sistema matemtico de anlise lgica. Esse sistema conhecido como lgebra de Boole. A lgebra booleana baseada em apenas dois estados. Estes estados poderiam, por exemplo, ser representados por tenso alta e tenso baixa ou tenso positiva e tenso negativa. Assim como na lgebra linear, encontramos vrios tipos de funes, como veremos a seguir. Simplificao de funes Funo E ou AND aquela cujo resultado equivale multiplicao de duas ou mais variveis. S = A . B (onde se l A e B) Para melhor entendimento veja a figura 17-1. Conclumos que a lmpada s acender quando a Ch 1 e a Ch 2 estiverem fechada, correspondendo a equao A . B = S Tabela Verdade da funo E ou AND um mapa onde colocamos todas as situaes possveis, com os respectivos resultados. A 0 0 1 1 B 0 1 0 1 S=A.B 0 0 0 1

Figura 17-2 Simbologia da funo E ou AND O nmero de situaes possveis constante na tabela verdade igual a 2N, onde N o nmero de variveis de entrada. Uma porta E com duas entradas tem N 2 = 22 = 4 situaes possveis. Podemos encontrar portas lgicas com trs ou mais entradas como mostrado na figura 17-3.

Figura 17-1 Circuito da funo E ou AND Convenes: Chave aberta Chave fechada Lmpada apagada Lmpada acesa = = = = 0 1 0 1

Situaes possveis: Ch 1 aberta e Ch 2 aberta 0 * 0 =lmpada apagada = 0

Ch 1 aberta e Ch 2 fechada =lmpada apagada 0 * 1 = 0 Ch 1 fechada e Ch 2 aberta =lmpada apagada 1 * 0 = 0 Ch 1 fechada e Ch 2 fechada=lmpada acesa 1 * 1 = 1 Figura 17-3 Portas E ou AND de trs e de cinco entradas.

17-7

Funo OU ou OR aquela que assume o valor um (1) na sada, quando uma ou mais variveis na entrada forem iguais a um (1), e assume o valor zero (0) se, e somente se, todas as entradas forem iguais a zero (0). S = A + B (S igual a A ou B) Para melhor compreenso veja a figura 17-4

Tabela Verdade da funo OU ou OR A 0 0 1 1 B 0 1 0 1 S=A+B 0 1 1 1 ser

Portas OR tambm podem encontradas com 3 ou mais entradas.

Figura 17-4 Circuito da funo OU ou OR Convenes: Chave aberta Chave fechada Lmpada apagada Lmpada acesa = = = = 0 1 0 1 Figura 17-6 Exemplos de portas OR Funo NOT ou NO A funo NO, complemento ou inverso, aquela que inverte o estado da varivel, isto , 0 inverte para1 e 1 inverte para 0. Veja a figura 17-7.

Situaes possveis: Ch 1 aberta e Ch 2 aberta 0 + 0 Ch 1 aberta e Ch 2 fechada 0 + 1 Ch 1 fechada e Ch 2 aberta 1 + 0 =lmpada apagada = 0 =lmpada acesa = 1 =lmpada acesa = 1

Ch 1 fechada e Ch 2 fechada =lmpada acesa 1 + 1 = 1 Conclumos que a lmpada acender quando pelo menos uma das chaves estiver ligada, correspondendo equao A + B = S. Figura 17-7 Circuito da funo NOT ou NO Convenes: Chave aberta Chave fechada Lmpada apagada Lmpada acesa = = = = 0 1 0 1

Situaes possveis: Chave 1 aberta 0 Figura 17-5 Simbologia da funo OU ou OR


17-8

= lmpada acesa = 1

Chave 1 fechada = Lmpada apagada 1 = 0

Tabela verdade da funo NOT ou NO A 0 1 A 1 0

Onde A representa o inverso de A Funo NO E ou NAND uma combinao das funes E e NO, que representada da seguinte forma: S = A * B ( S igual a A e B barrados, ou A e B not).

Funes XOR ou XNOR As portas NAND e NOR so ditas portas universais, porque vrios circuitos podem ser derivados, utilizando apenas estes tipos de portas. Podemos criar diversas funes combinando os vrios tipos de portas lgicas, dentre elas as denominadas XOR e XNOR. Tabela Verdade e simbologia a) XOR ou OU EXCLUSIVO Nesta funo teremos 1 na sada, quando as entradas forem desiguais. A 0 0 1 1 B 0 1 0 1 S=A+B 0 1 1 0

Figura 17-8 Simbologia NAND Tabela Verdade da funo NAND

A
0 0 1 1

B
0 1 0 1

A*B (S) 1 1 1 0

Figura 17-10 Simbologia XOR b) XNOR ou NOR EXCLUSIVONesta funo teremos 1 na sada, quando as entradas forem iguais. S=A+B A B 0 0 1 0 1 0 1 0 0 1 1 1

Funo NO OU ou NOR a combinao das funes OU e NO, que representada da seguinte forma: S = A + B (S igual a A ou B barrado, ou A ou B not). Tabela Verdade NO OU ou NOR A 0 0 1 1 B 0 1 0 1
S=A+B

1 0 0 0

Figura 17-11 Simbologia XNOR As portas XOR e XNOR so denominadas portas COMPARADORAS. A porta XOR denominada comparadora de desigualdade e a porta XNOR comparadora de igualdade. Formas cannicas

Figura 17-9 Simbologia NOR

As tabelas verdade de circuitos padro nem sempre conseguem representar todas as


17-9

funes lgicas. H circuitos cujas funes diferem do padro. Estes circuitos podero ser representados atravs de FORMAS CANNICAS. Forma cannica disjuntivaE a forma cannica mais utilizada. Para cada uma das entradas, atribui-se o valor 0 ou 1, estabelecendo-se uma expresso representativa da funo f = 1.
ENTRADAS SADA

Dentre as caractersticas dos circuitos de comutao, podemos citar o nvel lgico, o tempo de propagao, a potncia dissipada, a imunidade rudos e o fan-out. Nveis lgicos Os nveis lgicos so as tenses designadas como estado 1 e estado 0 binrios, para um certo tipo de circuito digital. Os valores nominais para os dois nveis so bem determinados mas, na prtica, os valores obtidos podem variar, devido tolerncia dos componentes internos do circuito integrado, variaes da fonte de alimentao, temperatura e outros fatores. Geralmente os fabricantes fornecem os valores mximos e mnimos admitidos para cada um dos nveis lgicos. muito importante conhecer os nveis lgicos de um determinado tipo de integrado pois, deste modo, ao trabalhar com equipamentos digitais, ser fcil identificar os estados lgicos das entradas e sadas. Tempo de propagao O tempo de propagao (Propagation Delay) a medida do tempo de operao de um circuito lgico. A velocidade de operao uma das caractersticas mais importantes e, para a maior parte das aplicaes digitais, uma alta velocidade de operao, ou seja, um baixo tempo de propagao benfico. O tempo de propagao exprime o espao de tempo necessrio para que a sada de um circuito digital responda a uma mudana de nvel de entrada; composto pelo acmulo de tempos de transio e retardo associados a qualquer circuito lgico. Quando a tenso de entrada de um circuito digital muda de 0 para 1, ou viceversa, a sada deste circuito responder aps certo perodo de tempo finito. A figura 17-13 d um exemplo de tempo de propagao; temos a representada a entrada de um circuito digital e, logo abaixo, a sada correspondente. Veja que a transio de 0 para 1 na entrada ocasiona uma transio de 1 para 0 na sada e que a transio de sada ocorre um certo tempo aps a transio de entrada. Isto que chamamos de tempo de propagao.

A 0 0 1 1

B 1 1 0 1

C 0 1 0 0

f 1 1 1 1

A*B*C A*B*C A*B*C A*B*C

f=ABC+ABC+ABC+ABC

Forma Cannica

Circuitos geradores de produtos cannicos- So circuitos que geram as formas cannicas bsicas, onde so estabelecidas e combinadas as entradas para todas as variaes. Se quisermos gerar os produtos cannicos possveis com n variveis, necessitaremos de 2n portas de n entradas.

Figura 17-12 Exemplos com duas variveis CIRCUITOS DE COMUTAO Os circuitos lgicos de um equipamento precisam ser compatveis s necessidades do projeto. Na execuo de funes lgicas, as entradas e sadas so variveis, requisitando padres de comutao.

17-10

Figura 17-13 Tempo de propagao O tempo de propagao (tp) medido geralmente entre os pontos de 50% de amplitude, da transio inicial da entrada para a transio inicial da sada ou da transio final da entrada para a transio final da sada. Observe ainda que existem dois tipos de tempo de propagao: um deles ocorre quando a entrada passa do nvel baixo para o nvel alto (tpBA), e o outro quando a entrada passa de alto para baixo (tpAB). Os dois tipos de tempos de propagao so geralmente diferentes, devido s caractersticas dos circuitos lgicos. Os tempos de subida e descida dos pulsos de entrada e sada tambm so importantes. Define-se tempo de subida (ts), como o perodo de tempo tomado pelo pulso para subir de 10% a 90% de sua amplitude mxima. O tempo de descida (td), o necessrio para o pulso descer de 90% a 10% dessa mesma amplitude. Para a maioria dos circuitos integrados digitais, os tempos de subida e descida so bastante reduzidos. Podem ser conseguidos tempos de transio de 1 nanosegundo. Alguns tipos de circuitos digitais modernos apresentam tempos de propagao que chegam a apenas algumas dezenas de nanosegundos. Os tempos de transio so normalmente menores que os tempos de propagao. Os tempos de propagao podem variar consideravelmente devido a tolerncias de fabricao, fiao, etc. e so cumulativos. Quando portas e outros circuitos lgicos combinacionais so ligados uns aos outros, os tempos de propagao se somam. Se existe mais de um nvel de lgica, isto , mais de uma estrutura, o tempo de propagao total, de entrada e sada, a soma dos tempos de propagao de cada um dos nveis. Potncia dissipada a potncia consumida por um circuito lgico operando em um ciclo de carga de 50%, isto , tempos iguais nos estados 0 e 1. A potncia total dissipada por um circuito uma considerao importante no projeto de um equipamento digital, pois uma elevada dissipao em potncia, significa um grande consumo de energia eltrica. Alm disso, a potncia total dissipada ir determinar o tamanho e o custo da fonte de alimentao. O calor liberado pelos circuitos, tambm relacionado potncia dissipada pelos mesmos, s vezes torna necessrio o seu resfriamento ou o uso de aparelhos de ar condicionado, para garantir o bom funcionamento do equipamento. A potncia dissipada por uma porta pode variar da ordem de alguns microwatts at 100 miliwatts. Compromisso velocidade-potncia As duas caractersticas descritas, velocidade potncia dissipada, so diretamente interdependentes em todos os tipos de circuitos lgicos digitais. A relao entre elas tal que a velocidade se apresenta proporcional potncia dissipada, ou seja, tanto mais rpida a comutao de um circuito lgico, maior ser a potncia dissipada. Os circuitos lgicos de alta velocidade empregam transistores bipolares no saturados que, associados a resistncias internas de baixos

17-11

valores, produzem um alto consumo de potncia. Os circuitos integrados do tipo MOS (Metal-Oxide-Semiconductor), consomem um mnimo de potncia devido as altas impedncias inerentes a esses componentes. No entanto, refletem em velocidades de comutao muito baixas, limitando sua operao a freqncias baixas. Pelo seu consumo bastante reduzido, adequam-se perfeitamente aos equipamentos portteis operados a bateria, onde a alta velocidade no for necessria. Imunidade a rudos A imunidade a rudos uma medida da caracterstica de baixa ou no interferncia de sinais externos indesejveis. Considera-se rudo qualquer sinal estranho, gerado externamente ou pelo prprio equipamento, e que acrescentado ou superposto aos sinais padro do sistema. Esse rudo pode ser um nvel de tenso variando lentamente, picos de tenso, ou sinais de alta frequncia e pequena durao. O rudo pode provocar uma comutao no circuito lgico, para um estado indesejvel num momento imprprio. A imunidade da maioria dos circuitos lgicos de aproximadamente 10% a 50% do valor da tenso de alimentao. Isto significa que um pico ser rejeitado, caso sua amplitude seja inferior a 10% ou 50% da tenso de alimentao. A imunidade a rudos uma considerao de grande importncia, porque a maioria dos sistemas digitais gera uma quantidade considervel de rudo em comutaes de alta velocidade. Alm disso, muitos equipamentos digitais so utilizados em ambientes industriais de rudo intenso, onde transientes provenientes das linhas de fora e de outros equipamentos eltricos podem causar falsas comutaes nos circuitos lgicos. Fan-out Fan-out uma caracterstica que indica o quanto de carga pode ser ligado sada de um circuito digital. geralmente expresso em termos de nmero de cargas padro que a sada de uma porta lgica aceita, sem afetar o nvel lgico nominal, velocidade, temperatura ou outras caractersticas.

Uma porta lgica pode, por exemplo, apresentar um Fan-out igual a 10, o que indica que at dez entradas de portas poderiam ser ligadas sada deste circuito lgico, sem afetar a sua operao. FAMLIAS DE CIRCUITOS LGICOS Como podem ser notados, os circuitos lgicos possuem caractersticas que devero ser observadas durante o projeto, para que o mesmo utilize os componentes adequados aplicao do equipamento. De acordo com estas caractersticas, os circuitos lgicos so agrupados em famlias. Entende-se por famlias de circuitos lgicos, os tipos de estruturas internas que permitem a confeco dos blocos lgicos em circuitos integrados. Dentre as famlias podemos destacar: RTL (Resistor-Transistor Logic). DTL (Diode-Transistor Logic). HTL (High Threshold Logic). TTL (Transistor-Transistor Logic). ECL (Emitter-Coupled Logic). C-MOS (Complementary MOS).

Tecnologia MOS A famlia MOS (Metal Oxide Semiconductor) compe-se de circuitos formados por MOSFETS, que so transistores de efeito de campo construdos a partir da tecnologia MOS, apresentando como caractersticas o baixo consumo e uma alta capacidade de integrao, isto , a colocao de uma grande quantidade de componentes lgicos num mesmo encapsulamento. Comparao entre famlias Famlia RTL (Resistor-Transistor Logic) Utiliza transistores e resistores, sendo das primeiras famlias utilizadas, formando portas NOR como principal bloco lgico. Suas principais caractersticas so: - Possui boa imunidade a rudos - Tempo de propagao da ordem de 12 ns - Potncia dissipada por bloco lgico, da ordem de 10 mw. - Alimentao 3V 10%

17-12

Famlia DTL (Diode-Transistor Logic) Utiliza diodos e transistores, sendo um desenvolvimento da lgica de diodos, permitindo a formao de blocos E, OU, NAND e NOR. Suas principais caractersticas so: - Imunidade a rudos da ordem de 0,8V. - Tempo de propagao da ordem de 30ns. - Potncia dissipada da ordem de 10 mw por bloco lgico. - Alimentao 5V 10%. Famlia HTL (High Threshold Logic) Utiliza diodos e transistores como a DTL, acrescentando um diodo Zener, para aumento do nvel de entrada, estabelecendo alta imunidade rudos. Suas principais caractersticas so: - Alta imunidade a ruidos. - Alto tempo de propagao. - Alta potncia dissipada, da ordem de 60 mw. Famlia TTL (Transistor-Transistor Logic) oriunda da famlia DTL, porm utilizando transistores multiemissores, que permitem a eliminao dos diodos e resistores de entrada, trazendo maior velocidade e menor custo, tornando-a das mais difundidas. Suas principais caractersticas so: - Boa imunidade a rudos - Tempo de propagao da ordem de 10 ns. - Potncia dissipada da ordem de 20 mw por bloco lgico. - Identificao Comercial srie 74 Faixa de temperatura de 0 a 75 C. Famlia ECL (Emitter Coupled Logic) Utiliza nos circuitos, acoplamento pelo emissor dos transistores, o que os faz operar em regime de no saturao, permitindo a mais alta velocidade de comutao dentre as famlias. Suas principais caractersticas so: - Boa imunidade a rudos. - Muito baixo tempo de propagao, da ordem de 3 ns. - Potncia dissipada da ordem de 25 mw por bloco. - Alimentao -5,2 V 20%.

Famlia C-MOS (Complementary MOS) uma variao da famlia MOS, consistindo basicamente de pares de canais MOS complementares. Esta tcnica tem como vantagem em relao ao MOS convencional, uma maior velocidade de comutao, da ordem de 80 ns, contra 300 ns. Suas principais caractersticas so: - Baixa dissipao de potncia, da ordem de 10w. - Alto ndice de integrao. - Alta imunidade a rudos - Ainda elevado tempo de propagao, da ordem de 60 a 70 ns. - Larga faixa de alimentao de 3 a 18 V. Mtodos de fabricao Existem trs formas bsicas de se fabricar circuitos integrados. O mtodo mais difundido o chamado monoltico; os outros so o de pelcula fina, o de pelcula espessa e o hbrido. Mtodo Monoltico O circuito integrado monoltico construdo inteiramente de um nico pedao de silcio semicondutor, chamado pastilha ou chip. Materiais semicondutores so difundidos sobre esta base, dando origem a diodos, transistores e resistores. Como resultado, o circuito inteiro, com todos os componentes e interligaes, forma-se sobre uma base nica, dando origem ao termo monoltico. Os circuitos integrados monolticos digitais se subdividem em dois tipos bsicos: os Bipolares e os do tipo MOS, diferindo fundamentalmente no tipo de transistor utilizado. Os circuitos MOS, so mais fceis de obter e ocupam menos espao, desta forma possvel incluir muito mais circuitos num chip apresentando uma maior densidade de componentes e custo menor. Mtodo de pelcula fina ou espessa Neste mtodo, os circuitos so obtidos depositando-se os materiais sobre uma base no condutora, como a cermica, formando resistores, capacitores e indutores. Normalmente os

17-13

dispositivos semicondutores no so obtidos por este processo. Mtodo Hbrido O circuito integrado hbrido formado pela combinao de circuitos monolticos e circuitos de pelcula. Os hbridos oferecem uma grande variedade de combinaes entre circuitos integrados e componentes, resultando em vrias funes que no poderiam ser obtidas com circuitos integrados especficos. Classificao dos circuitos integrados digitais Os circuitos integrados digitais podem ser classificados basicamente em trs grupos: SSI Small Scale Integration (Integrao em Pequena Escala); MSI Mdium Scale Integration (Integrao em Mdia Escala); LSI Large Scale Integration (Integrao em Grande Escala). Os circuitos SSI representam a forma mais bsica e simples dos circuitos integrados: so amplificadores ou portas, que realizam uma funo elementar, devendo ser interligados externamente, caso queiramos formar circuitos funcionais completos.. Os circuitos MSI so mais complexos, formados por vrias portas interligadas, compondo circuitos funcionais completos, a maioria contendo doze ou mais circuitos, desempenhando funes como um decodificador, um contador, um multiplexador. Os circuitos LSI contm 100 ou mais portas ou dispositivos equivalentes, formando grandes circuitos funcionais, equivalentes a vrios circuitos MSI. Seu maior campo de aplicao o das memrias e micro processadores. Encapsulamento de integrados Atualmente h trs tipos de encapsulamento para acomodar chips: TO5 ou caneca. FLAT PACK ou invlucro chato. DIP (Dual In-line Pack) ou em linha dupla. Encapsulamento TO5 Esta foi a primeira verso de encapsulamento usada em circuitos integrados, a partir de um invlucro padro para transistores. Sua principal vantagem reside em

seu grande poder de dissipao de calor, e por esta razo encontra maior aplicao nos circuitos lineares.

Figura 17-14 Encapsulamento tipo caneca(TO5) Encapsulamento chato (Flat Pack) Apresenta o menor tamanho entre todos eles, sendo assim empregado onde se deseja uma elevada densidade de componentes na placa. Os invlucros tm um formato achatado e so apropriados para soldagem sobre circuitos impressos, podendo ficar muito prximos um dos outros. Encontram aplicaes onde o espao crtico, como por exemplo, em aviao, sistemas militares de alta confiabilidade e equipamentos industriais especiais.

Figura 17-15 Encapsulamento chato (Flat Pack) Encapsulamento DIP (Dual In-Line Package) O DIP ou encapsulamento em linha dupla, assim chamado porque exibe duas fileiras paralelas de terminais, tendo sido projetado para adaptar-se s mquinas de insero automtica de componentes em placas de circuitos impressos.

Figura 17-16 Encapsulamento em linha dupla (DIP)

17-14

Pode ser encontrado desde o MINI-DIP de oito pinos, ao gigante de quarenta pinos. A maioria dos SSI apresenta-se em encapsulamentos de 8, 14 ou 16 pinos, enquanto o MSI com 14, 16 ou 24 pinos. Finalmente os LSI so encontrados mais freqentemente com 24, 28 ou 40 pinos. CIRCUITOS COMBINACIONAIS Conceitos Circuito lgico combinacional, ou simplesmente circuito combinacional, aquele cujo estado de sada uma funo exclusiva das combinaes possveis das variveis de entrada. Os circuitos lgicos combinacionais que iremos estudar, so divididos em trs categorias: a Circuitos Lgicos Bsicos - Porta AND (E). - Porta OR (OU). - Porta NOT (NO). b Circuitos Lgicos Universais - Porta NAND (NO E). - Porta NOR (NO OU). C Circuitos Comparadores - Porta XOR (OU EXCLUSIVO). - Porta XNOR (NO OU EXCLUSIVO) Circuitos Lgicos Bsicos As portas E, OU e INVERSORA, so ditas bsicas porque, atravs delas, todas as funes lgicas podem ser obtidas.

Circuitos Lgicos Universais Dentre todas as portas lgicas, as portas NAND e NOR, so as mais utilizadas, pois qualquer tipo de circuito lgico pode ser obtido atravs delas.

Figura 17-18 Portas NAND e NOR Circuitos Comparadores As portas XOR e XNOR so consideradas circuitos comparadores e encontram vasta aplicao onde for necessrio comparar expresses ou tomar uma deciso.

Figura 17-19 Portas XOR e XNOR Codificadores e decodificadores Um Codificador tem a funo de tradutor de um cdigo (linguagem) conhecido ou comum, para um cdigo desconhecido ou incomum. Um Decodificador tem a funo de tradutor de um cdigo (linguagem) desconhecido ou incomum, para um cdigo conhecido ou comum. Da relao dos bits 0 e 1 do sistema binrio, com os estados lgicos 0 e 1, surgiu a aplicao de circuitos lgicos em calculadoras, com operaes realizadas no sistema binrio. Cabe aqui uma pergunta. Por que no empregar nas calculadoras eletrnicas circuitos que realizem operaes diretamente no sistema decimal? A resposta simples: os circuitos teriam que discernir 1 entre 10 nveis diferentes, contra
17-15

Figura 17-17 Portas bsicas

1 entre 2, o que os tornaria complicados, caros e volumosos. Para facilitar a operao da mquina, a entrada dos dados a serem calculados e o resultado das operaes, devem estar na forma decimal, que o cdigo comum aos humanos. V-se, ento, a necessidade de componentes lgicos conversores, dotados de circuitos codificadores e decodificadores que realizem as converses decimal-binrio ou binrio-decimal.

Estes codificadores e decodificadores, so na verdade circuitos lgicos combinacionais cujas sadas dependem dos estados lgicos das entradas. Um nmero decimal pode ser codificado de tal maneira que a operao digital possa ser desempenhada utilizando-se nmeros binrios. A converso de um sistema para o outro realizada por circuitos codificadores. O circuito que tem a funo inversa denominado decodificador.

Figura 17-20 Diagrama bloco bsico de uma calculadora Circuito Codificador Um codificador consiste de portas lgicas que convertem um nmero decimal para outro cdigo de representao. Na figura 17-21 a seguir, temos um circuito codificando um grupo de chaves que representam nmeros decimais, para fornecimento de um cdigo binrio de 4 bits.

Figura 17-21 Circuito codificador Quando todas as chaves estiverem abertas, teremos nvel 1 (alto) na entrada de todas as portas NAND, ocasionando todas as sadas em nvel 0 (baixo), gerando o binrio0000. Ao pressionarmos a chave 1, um nvel baixo na entrada da porta A, ocasionar um alto em sua sada, indicando o binrio 0001. Pressionando a chave 2, teremos um nvel alto da sada da porta B, acendendo o Led correspondente, indicando o binrio0010. Acionando a chave 6, as portas B e C tero sada alta, ocasionando a indicao binria 0110. Display de segmentos A apresentao do resultado anteriormente descrita, no satisfatria, pois nem todos os humanos compreendem a representao binria. So necessrios ento, componentes que nos proporcionem uma forma simples de representao.

17-16

Os displays de sete segmentos so componentes mais comuns para representao numrica. Estes displays possibilitam representarmos nmeros de cimais e alguns outros smbolos. So compostos por segmentos que podem ser ativados individualmente, permitindo combinaes.

Figura 17 24 Representao do quatro (4) Circuito Decodificador Como o cdigo interno normalmente utilizado o binrio, torna-se necessrio um decodificador que permita a ativao individual dos segmentos. Este decodificador possui a seguinte tabela verdade:

Figura 17-22 Display de sete segmentos Para formao do algarismo zero (0), necessitamos ativar os segmentos a, b, c, d, e e f, desativando o segmento g.

DECIMAL

BCD 8421 A B C D 8 4 2 1

CDIGO DE 7 SEGMENTOS a b c d e f g

Figura 17 23 Representao do zero (0) A representao do algarismo quatro (4) requer a ativao dos segmentos b, c, f e g.

0 1 2 3 4 5 6 7 8 9

0 0 0 0 0 0 0 0 1 1

0 0 0 0 1 1 1 1 0 0

0 0 1 1 0 0 1 1 0 0

0 1 0 1 0 1 0 1 0 1

1 0 1 1 0 1 1 1 1 1

1 1 1 1 1 0 0 1 1 1

1 1 0 1 1 1 1 1 1 1

1 0 1 1 0 1 1 0 1 1

1 0 1 0 0 0 1 0 1 0

1 0 0 0 1 1 1 0 1 1

0 0 1 1 1 1 1 0 1 1

As funes da tabela podero ser obtidas atravs do circuito da figura 17-25.

Figura 17-25 Decodificador para Display de sete segmentos


17-17

Somadores e subtratores Somador Se quisermos somar dois dgitos binrios, teremos duas entradas para o circuito de soma, havendo quatro combinaes para estas entradas: (0 + 0), (0 + 1), (1 + 0) e (1 + 1). Na aritmtica binria, 1 mais 1 (1+1) igual a 0 (zero) e um dgito 1 transportado para a coluna da esquerda. A + B 0 + 0 + 1 + 1 + 0 1 0 1 = S T 0 0 0 1

O somador que executa a soma dos dgitos mais significativos e que possui uma terceira entrada para o transporte, denominado Somador Completo (Full Adder), sendo formado por dois Half Adders (H) e uma porta OR. Um somador ser composto de vrios Full Adder (H), para a coluna menos significativa.

= 0 = 1 = 1 = 0

De acordo com a tabela verdade, a funo soma (S) pode ser executada por uma porta XOR (OU EXCLUSIVA)), e a funo transporte (T) por uma porta AND.

Figura 17-28 Somador para dois dgitos de trs bits Subtrator Na aritmtica binria, 0 menos 1 (0 1) igual a 1 e um dgito 1 tomado emprestado da coluna da esquerda. A 0 0 1 1 B 0 1 0 1 = = = = = S 0 1 1 0 E 0 1 0 0 Toma 1 emprestado Analogamente ao somador, para subtrairmos dgitos na coluna menos significativa, fazemos uso de um Meio Subtrator (Half Subtractor) e, para as demais colunas, utilizamos o Subtrator Completo (Full Subtractor).

Figura 17-26 Meio Somador (Half Adder) Para somar as colunas menos significativas, ser suficiente o circuito acima, com duas entradas, que denominado Meio Somador (Half Adder), porm ao somarmos as demais colunas teremos que considerar uma terceira entrada, o transporte da coluna anterior.

Figura 17-29 Meio Subtrator (Half Subtractor) Um subtrator ser composto de vrios Full Subtractors (FS), para as colunas mais significativas e um Half Subtractor (HS), para a coluna menos significativa.

Figura 17-27 Somador Completo (Full Adder)

17-18

Figura

17-30

Subtrator Completo Subtractor)

(Full

chave. No multiplexador, a seleo feita de acordo como valor digital das entradas de seleo (S0), (S1) e (S2), com pesos binrios 1, 2 e 4, respectivamente. As entradas de A a H, correspondero a valores decimais de 0 a 7. Na sada, teremos o nvel da entrada, cujo valor decimal corresponde ao valor binrio das entradas seletoras. Os Demultiplexadores so componentes que distribuem o nvel de uma nica entrada, para uma, dentre as vrias sadas, de acordo com o valor binrio das entradas seletoras.

Figura 17-31 Subtrator para dois dgitos de trs Bits Multiplexadores e Demultiplexadores Os Multiplexadores so componentes que permitem selecionar um dado, dentre diversas fontes, como uma chave seletora de vrias posies. Figura 17-34 Demultiplexador

Figura 17-35 Circuito equivalente Figura 17-32 Multiplexador CIRCUITOS SEQUENCIAIS Os circuitos combinacionais vistos anteriormente apresentam as sadas dependentes de variveis de entrada. Os circuitos seqenciais tm as sadas dependentes de variveis de entrada e de seus estados anteriores que foram armazenados. Circuitos seqenciais so normalmente sistemas pulsados, isto , operam sob o comando de pulsos denominados Clock. Dentre os componentes utilizados em circuitos seqenciais, o Flip-Flop um dispositivo fundamental, que permite, por suas caractersticas, o armazenamento de estados lgicos anteriores.
17-19

Figura 17-33 Circuito equivalente Atravs do circuito equivalente verificamos que a sada poder estar ligada a qualquer das entradas, bastando posicionar a

Flip-Flop Flip-Flop um dispositivo que possui dois estados estveis. Um pulso em suas entradas poder ser armazenado, e transformado em nvel lgico estvel. H vrios tipos de Flip-Flop, que podem ser representados basicamente conforme a figura 17-36.

Figura 17-38 Flip-Flop RS comandado por CLOCK Flip-Flop JK Os Flip-Flop RS possuem um estado no permitido, quando as entradas R e S so iguais a 1 acarretando uma sada indeterminada. O Flip-Flop JK resolve este problema, utilizando um RS realimentado.

Figura 17-36 Flip-Flop Um pulso na entrada S, armazenado, tornando Q verdadeiro e falso. Um pulso na entrada R , armazenado, tornando Q falso e verdadeiro. Flip-Flop tipo RS (Latch) ser Q ser Q

Figura 17-39 Flip-Flop JK S A B C D E 1 0 0 0 1 R 0 0 1 0 1 S1 0 1 1 1 R1 Q, Q 0 0 1 1 De acordo com o circuito, o FF JK, com as entradas J e K no estado 1, ter seu estado complementado a cada clock, isto , se estiver setado (sada Q = 1), complementar (Q - >0 e Q - > 1), se estiver ressetado (sada Q = 0), complementar (Q - > 1 e Q - > 0). Flip-Flop JK Mestre-Escravo No FF JK, no momento em que o Clock for igual a 1, o circuito funcionar como um combinacional, passando o estado das entradas J e K diretamente para a sada. Para evitar este inconveniente, criou-se o Flip-Flop JK Mestre-Escravo (Master-Slave), que consiste basicamente de dois FF JK, permitindo a comutao do FF, apenas na transio positiva ou negativa do Clock. 1 1 1 1 0 0 1 0 ilegal

Figura 17-37 Flip-Flop tipo RS Flip-Flop RS comandado por Clock Substituem-se os inversores na entrada do RS bsico, por portas NAND. S A B C D 1 1 0 1 R 0 0 0 1 CLK S1 0 1 0 1 1 0 1 R1 Q Q 0 0 0

1 0 1 1 1 1 ilegal

Figura 17-40 Flip-Flop JK Mestre-Escravo


17-20

Flip-Flop tipo T Consiste de um FF JK com as entradas J e K interligadas. Sua caracterstica de complementar-se toda vez que a entrada estiver igual a 1, mantendo-se no ltimo estado quando a entrada for igual a 0.

Figura 17-42 Flip-Flop tipo D Contadores So circuitos digitais compostos de FlipFlops, que variam seus estados, sob comando de um Clock, de acordo com uma sequncia prdeterminada. O que determinar a capacidade de um contador, ser o nmero de Flip-Flop utilizados. Contador de pulsos Consiste de um grupo de FF Master-Slave de comutao na transio negativa do Clock, configurados em srie, de tal modo que a sada de cada estgio ter a metade da frequncia do estgio anterior.

Figura 17-41 Flip-Flop tipo T Flip-Flop tipo D Consiste de um FF JK com as entradas interligadas atravs de um inversor, permitindo que seja setado (colocado no estado 1) quando, no momento do Clock a entrada estiver igual a 1, e que seja ressetado (colocado no estado 0), quando, no momento do Clock a entrada estiver igual a 0.

Figura 17-43 Contador de pulsos Contadores decrescentes O circuito que efetua a contagem crescente o mesmo para contagem decrescente, com a diferena de utilizar as sadas Q dos FFs. A tabela verdade de um contador crescente corresponder ao complemento da tabela de um contador decrescente.

Figura 17-44 Contador decrescente

17-21

Registradores (Shift Registers) O flip-Flop tem a caracterstica de armazenar o valor de um bit, mesmo que sua entrada no esteja mais presente. Se necessitarmos guardar informaes com uma quantidade de bits maior que um (1), o FlipFlop ser insuficiente. Para isso utilizamo-nos

de um componente denominado Registrador de Deslocamento (Shift Register), que compe-se de um certo nmero de Flip-Flops, de forma que as sadas de um alimentem as entradas do FF seguinte. Cada estgio do registrador armazenar o sinal de entrada no momento do Clock. Sero necessrios tantos Clocks, quantos forem os bits a serem armazenados.

Figura 17-45 Registrador de Deslocamento (Shift Register) Este tipo de registrador bastante utilizado nas converses de sistemas seriais para sistemas paralelos, onde a entrada recebe os sinais serialmente, recebendo ao final a informao completa paralela. MEMRIAS Memrias so dispositivos que armazenam informaes. Essas informaes podero ser nmeros, letras, ou caracteres quaisquer Tipos de memrias Podemos classifica-las quanto a: a) Acesso. b) Volatilidade. c) Possibilidade de regravao. d) Reteno. Acesso As memrias armazenam as informaes em reas internas chamadas endereos. Dependendo da codificao utilizada, cada endereo conter um conjunto de bits, ao qual chamamos palavra.Cada endereo conter uma palavra de memria. Podemos acessar palavras de memria de duas maneiras: -Acesso Seqencial. -Acesso Aleatrio. No acesso Seqencial, o endereamento ser feito em sequncia, isto , para uma dada posio de memria todos os endereos precisam ser acessados desde o primeiro endereo. Em virtude disto, o tempo de acesso depender do lugar onde a informao estiver armazenada. Como exemplo comparativo, podemos citar a fita cassete. Para acessarmos uma msica que esteja no meio da fita, precisaremos percorre-la desde o princpio. No acesso Aleatrio, o endereamento feito diretamente na palavra desejada, sem necessidade de passar-se pelas posies intermedirias. Estas memrias so conhecidas por RAM (Random Access Memory). Como principal vantagem tm o tempo de acesso, que reduzido e idntico para qualquer endereo. Como exemplo comparativo, podemos citar um disco. Para acessarmos qualquer msica, bastar posicionar o brao do tocadiscos na mesma. Volatilidade Podem ser volteis e no volteis. As memrias volteis so aquelas que perdem a informao armazenada quando da interrupo da sua alimentao. As memrias no volteis so aquelas que mantm armazenadas as informaes, mesmo na ausncia de alimentao. Possibilidade de regravao As memrias de Escrita / Leitura permitem o acesso a qualquer
17-22

endereo, para consulta da informao (Leitura) (Leitura) ou para alterao da informao (Gravao). So utilizadas em processos onde necessria a constante alterao das informaes. So normalmente identificadas como RAM (Random Access Memory). As memrias apenas de Leitura (Read Only Memory ou ROM) so aquelas cuja informao somente estar disponvel para Leitura. So utilizadas em processos onde a informao necessria para consulta ou inicializao de uma rotina. Possuem capacidade de armazenamento, isto , quantidade de endereos, inferior s RAMs. Quanto a esta classificao podemos citar: a) PROM (Programable Read Only Memory) - So memrias apenas para leitura, que permitem que a sua programao, isto , a gravao inicial seja feita pelo usurio. Esta gravao permanente, no permitindo alteraes, passando ela a operar como uma ROM. b) EPROM (Eraseble / Programable Read Only Memory) So memrias que funcionam como PROMs, que permitem, porm o seu apagamento e posterior regravao. O processo de apagamento possvel por meio de um banho ultravioleta, atravs de janelas no seu encapsulamento. c) EEPROM So EPROMs que permitem sua regravao por meios eltricos, sem necessidade de banhos Ultravioleta.

conveniente lembrar que, embora as EEPROMs permitam regravaes, a sua aplicao diferente das RAMs. As EEPROMs, assim como as EPROMs, PROMs e ROMs, so utilizadas para armazenamento de informaes que durante um processo so apenas consultadas, como as instrues para sequncia de um programa. A caracterstica de regravao em alguns tipos de ROM, tem por finalidade permitir alteraes nestas instrues, sem a necessidade de substituio de componentes. Reteno Classificam-se em Estticas e Dinmicas. As memrias de armazenamento Esttico, retm os dados inseridos enquanto a alimentao estiver presente. As memrias Dinmicas, por outro lado, possuem um efeito capacitivo, isto , perdem as informaes carregadas, aps um determinado tempo, necessitando de ciclos peridicos de recarga (Refresh Cycle). As memrias Estticas so mais caras e de menor capacidade. Endereamento Como j foi visto anteriormente, cada posio de memria, acessada atravs de um endereo, logo teremos tantos endereos quantas forem as posies de memria. A capacidade de memria corresponder quantidade de endereos possveis. Com dois bits como variveis, obtemos quatro combinaes, que nos permitem acessar quatro endereos: posies 00, 01, 10 e 11. Com n bits variveis podemos obter 2n endereos.

Figura 17-46 Memria RAM de quatro bits


17-23

Palavra de memria Cada endereo de memria corresponder a quantidade mnima de informaes que poder ser acessada. Esta informao poder compor-se de um ou mais bits. Esta quantidade de bits por endereo, chamada de palavra de memria, depender dos circuitos associados a ela e ao cdigo interno utilizado. As palavras mais comuna compem-se de 8, 16 ou mesmo 32 bits. A cada posio acessada, sero lidos paralelamente 8, 16 ou 32 bits. Byte o nome dado ao agrupamento de bits que represente um tipo de informao identificvel e depender da filosofia do fabricante do equipamento. Normalmente um byte composto por 8 bits. Qualquer caractere significativo ser representado na forma de um BYTE. Uma memria com 1 kilobytes (1 kB), indica uma capacidade de armazenamento de 1000 caracteres. Aplicao Memrias so aplicadas de formas diversas, mas sempre que for necessrio o armazenamento temporrio ou permanente de informaes. Uma informao poder ser um valor a ser processado, o resultado de uma operao, ou mesmo a prpria sequncia com as instrues da operao. Valores fixos ou variveis, em processamento, so chamados DADOS. Seqncias de instrues de operao so chamadas de PROGRAMAS. As instrues de um programa, so normalmente armazenadas em memrias do tipo ROM, pois so informaes fixas. Dados so armazenados normalmente em memrias do tipo RAM. CONVERSO DE SINAIS Existem basicamente dois tipos de sinais: Analgicos e Digitais Sistemas digitais e analgicos no so compatveis entre si, necessitando de conversores.

Os conversores tm por finalidade transformar sinais digitais em analgicos e viceversa. Sistemas Analgicos e Digitais Entende-se por ANALGICA, toda variao linear ou contnua de um sinal. Grandezas fsicas como temperatura, presso, tenso, resistncia, variam de forma analgica.

Figura 17-47 Grfico de variao Analgica Entende-se por DIGITAL, toda variao discreta, isto , em degraus definidos ou steps.

Figura 17-48 Grfico de variao Digital Os sistema digitais, como internamente se utilizam de valores binrios, somente reconhecem duas variaes discretas, o zero (0) e o um (1). Aos sinais utilizados por estes sistemas chamamos digitais binrios.

Figura 17-49 Grfico de variao digital binria Amplificadores operacionais So componentes lineares cuja finalidade amplificar uma diferena entre dois sinais, possuindo ganho controlvel. Diferenas de amplitude entre dois sinais so amplificadas gerando uma sada proporcional a entrada.

17-24

O limite de amplificao, isto , o valor mximo de amplitude de sada, depender das alimentaes do amplificador, limitando-se aos seus valores. A partir da, o amplificador estar saturado, mantendo a sada fixa at que a diferena entre as entradas seja reduzida. Conversor Digital-Analgico Figura 17-50 Amplificador operacional A existncia de duas entradas, sendo uma inversora e a outra no inversora permite que, dependendo de sua utilizao, o sinal de sada seja normal ou invertido. utilizado quando for necessria a converso de uma varivel digital em varivel analgica. A varivel digital normalmente codificada em BCD 8421. A sada analgica assumir valores de grandeza correspondentes s variaes digitais da entrada.

Figura 17-51 Conversor D / A

Figura 17-52 Circuito bsico Sistemas de computao digital, no so capazes de gerar sinais analgicos linearmente, mas dependendo da preciso desejada, podero ser utilizados mais bits, que gerando mais steps, daro condies de gerao de sinais bastante prximos dos analgicos. Conversor Analgico-Digital utilizado quando for necessria a converso de uma varivel analgica em varivel digital. O conversor efetua vrios passos at a converso final, utilizando-se de um contador, um conversor D / A, um amplificador operacional atuando como comparador e FlipFlops. O circuito basicamente constitudo por um contador de dcada, gerando um cdigo BCD 8421, que aplicado ao conversor D/A, que por sua vez apresenta na sada uma tenso de referncia (VR). Esta tenso de referncia comparada no amplificador operacional, com o sinal analgico de entrada (Ve).
17-25

Figura 17-53 Conversor A / D Enquanto VR for menor que Ve, a sada do operacional habilitar o incremento do contador a cada clock.Quando VR = Ve, o operacional dar sada 0 desabilitando o contador e, simultaneamente habilitando a transferncia do contedo do contador para os Flip-Flops, que apresentaro na sada o valor digital correspondente entrada analgica.

17-26

Vous aimerez peut-être aussi