Vous êtes sur la page 1sur 38

Para poder representar los estados de salida de cada FlipFlop los contadores digitales utilizan un Led indicador (Fig.

1). De forma visual el Led encendido representa un 1, y apagado representa un 0. As de forma mental pueden decodificarse los

estados binarios de los Leds.

Figura 1. Estados de salida representados con Leds.

Dicho mtodo se vuelve inconveniente ya que a medida que aumenta el tamao (nmero de bits) del contador, se torna difcil el decodificar mentalmente los resultados visualizados. Por esta razn es preferible desarrollar por medios electrnicos

un decodificador y as poder visualizar los resultados en un


formato fcil de reconocer y que no requiere operaciones mentales. La importancia para la decodificacin electrnica de un contador se debe a las diversas aplicaciones que tienen para controlar la sincronizacin o la secuencia de operaciones en

forma automtica sin intervencin humana.

Un decodificador es un circuito lgico con n entradas y 2n salidas como mximo, tal que para cada combinacin de entradas

se activa al menos una salida.


Los decodificadores pueden ser de dos tipos:

No Excitadores. Se denominan as a un tipo de decodificadores cuyas salidas solo pueden acoplarse a otros circuitos digitales de la misma familia integrada, ya que dan una corriente muy pequea en dichas salidas, incapaz de activar ningn otro componente.
Excitadores. Son aquellos cuyas salidas dan suficiente corriente como para excitar, no solo a otros circuitos integrados de la misma familia, sino tambin a otros dispositivos, tales como displays, rels, transductores, etc.

DECODIFICADOR ACTIVO EN ALTO: la salida activa es 1 y la no activa 0. Un contador MOD-X tiene X estados distintos, por lo tanto se necesita una compuerta AND (Fig. 2) para detectar

(decodificar) cada uno de estos estados.

Entradas C L B L A L
0 1 2

Salidas
3 4 5 6 7

H L

L
L L H

L
H H L

H
L H L

L
L L L

H
L L L

L
H L L

L
L H L

L
L L H

L
L L L

L
L L L

L
L L L

H
H H

L
H H

H
L H

L
L L

L
L L

L
L L

L
L L

L
L L

H
L L

L
H L

L
L H

Fig. 2 Compuerta AND para decodificar un contador MOD-8 y su Tabla de verdad

DECODIFICADOR ACTIVO EN BAJO: la salida activa es 0 y la no

activa 1. Es decir, las salidas normalmente son en estado ALTO y


cambian a edo. BAJO en cuanto ocurre el numero a decodificar. Se utilizan compuertas NAND en lugar de compuertas AND.

Figura 3. Diagrama lgico y tabla de verdad de CI 74145 decodificador BCD a decimal (4 a 10 lneas).

Decodificador de contadores BCD


Un decodificador de BCD a Decimal tiene un cdigo de entrada de 4 bits y 10 lneas de salida que corresponden a los 10 grupos de cdigo BCD, es decir convierten cada cdigo BCD (0000 1001) en uno de los diez posibles dgitos decimales (0-9). Los decodificadores de este tipo a menudo estn diseados de forma tal, que si cualquiera de los cdigos que no se utilizan se aplica a la entrada, NINGUNA de las salidas se activar. Anteriormente se vio el CI 74145 el cual realiza dicha funcin. Ahora se ver CI 7447 se trata de un decodificador BCD a 7 segmentos (utiliza display de nodo comn), el cual presenta la ventaja de poder representar los valores Hexadecimales (A F) adems de nmeros decimales.

Figura 4. Diagrama Lgico decodificador BCD a 7 segmentos CI 7447

Figura 5. Tabla de verdad CI 7447

Las dispositivo

entradas que

pueden 4

estar salidas

dadas

por

cualquier como un

tenga

digitales,

microcontrolador, un contador o simplemente un dip-switch. Los decodificadores se emplean fundamentalmente para seleccionar los diferentes puertos de E/S ( entrada/salida).

DECODIFICADOR DE TRANSICIONES FALSAS Los retrasos de propagacin acumulados limitan las respuesta de frecuencia de los contadores de rizo. Dichos retrasos entre las transiciones de los FF del contador de rizo pueden ocasionar problemas los cuales se presentan en forma de

transiciones falsas (glitches) o espigas en las salidas de algunas de


las compuertas de decodificacin ( Figura 3).

Fig. 6 Contador MOD 4 y compuertas decodificadoras

En la figura 4 se observa el retraso de propagacin que


ocurre entre la seal de reloj y la salida A y entre la seal A y la seal B. Las transiciones falsas que ocurren en las formas de onda de decodificacin X0 y X2, son ocasionadas por el retraso entre las seales A y B.

Fig. 7 Formas de onda de la decodificacin para un contador de rizo, que muestra espigas en las salidas X0 y X2.

X0 es la salida de la decodificacin de la compuerta AND para el estado 00. Esta condicin tambin ocurre momentneamente cuando el contador pasa del conteo 01 al 10 como lo demuestra la forma de onda. Una situacin similar se produce para la condicin 10 en la salida X2 y ocurre momentneamente en el instante en que el contador pasa de 11 a 00 en respuesta al cuarto pulso de reloj. Una ves ms esto se debe al retraso de la respuesta del FlipFlop B despus de que la seal A a pasado a bajo. Esta situacin puede presentarse en cualquier contador de rizo, ya que funcionan basados en el principio de reaccin en cadena, es decir, que cada Flip-Flop dispara al siguiente y as

sucesivamente.
Estados transitorios

B 1 1 0

A 1 0 0 (3) (2) 0

primero el FF A cambia de estado y causa que B cambie de estado.

Deshabilitacin estroboscpica: Se trata de un mtodo confiable para eliminar las espigas del decodificador. Para ello emplea una seal llamada seal estroboscpica la cual mantiene deshabilitadas las compuertas AND de decodificacin ( salidas en 0), hasta que todos los FlipFlops hallan alcanzado un estado estable en respuesta a la transicin negativa del reloj, como

puede verse en la figura 5 la seal estroboscpica se conecta a la


entrada de cada una de las compuertas de decodificacin. La seal estroboscpica pasa a BAJO cuando el pulso del reloj pasa a ALTO. Durante el tiempo en que la seal estroboscpica esta en BAJO, las compuertas de decodificacin se conservan en BAJO. La seal estroboscpica se va a ALTO para habilitar las compuertas de decodificacin algn tiempo t0 despus de que el pulso de reloj se fue

a BAJO.

Figura 8. Seal estroboscpica para eliminar espigas de decodificacin

CONEXIN EN CASCADA DE CONTADORES BCD Los contadores BCD se utilizan siempre que se vayan a contar pulsos y los resultados se exhiban en forma decimal. Un solo contador BCD puede contar de 0 a 9 y luego regresa a 0. Para contar nmeros decimales mayores, podemos conectar los BCD en forma de cascada como se ilustra en la figura 6.

Figura 9. Conexin en cascada de contadores BCD de 000 a 999.

:Nota Esta configuracin puede ampliarse a cualquier numero de dgitos decimales que se desee agregando ms etapas.

1. Inicialmente todos los contadores son iniciados a cero,


exhibiendo en decimal 000. 2. El contador BCD avanza un conteo por pulso de reloj a la entrada, despus de 9 pulsos los contadores BCD de centenas y decenas siguen todava en cero y el contador de unidades esta en nueve (1001). De este modo la exhibicin decimal se lee 009.

3. En el dcimo pulso de entrada el contador de las entradas se


recicla a cero, ocasionando que las salidas que las salidas del FlipFlop D vaya de 1 a 0, esta transicin de 1 a 0 acta como la entrada de reloj para el contador de las decenas y ocasiona que avanc un conteo. As despus de 10 pulsos de entrada, la lectura decimal es 010.

4. El contador avanza un conteo por pulso y cada vez que el contador de unidades se recicla a 0 el contador de las decenas avanza 1 conteo. De este modo despus de que han ocurrido 99 pulsos de entrada, el contador de las decenas esta en 9, al igual que el de las unidades. Su lectura en decimal es 099.

5. En el pulso 100 de entrada, el contador de las unidades se recicla a


0, que a su ves ocasiona que el contador de las decenas se recicle a 0. Las salidas del flipflops D del contador de las decenas realiza una transicin de 1 a 0 que acta como entrada de reloj para el contador de las centenas y ocasiona que avanc un conteo. Despus de 100 pulsos la lectura decimal es 100. 6. Este proceso continua hasta 999 pulsos. En el pulso 1000 todos los

contadores se reciclan a 0.

DISEO DE CONTADORES SNCRONOS Los contadores sncronos se diferencian de los asncronos ya que la seal de reloj es comn a todos los Flip-Flops, lo que ocasiona que todos los cambios se produzcan a la vez. Solucionando las limitaciones que presentan los contadores asncronos, que son: 1. Se producen a la salida transiciones no previstas (transiciones falsas), debido a que los Flip-Flops no conmutan al mismo tiempo. 2. Puesto que el cambio de estado se produce cuando han cambiado todos los Flip-Flops y stos estn en cascada, el tiempo de respuesta del contador depender del nmero de estos. A medida que aumentamos el nmero de estados del contador y por tanto el

de

Flip-Flops,

ste

disminuir

su

frecuencia

mxima

de

funcionamiento, dada por:

Donde: TR = Tiempo de respuesta TP = Tiempo de propagacin de cada FF Tc= Retardo de la lgica combinacional Ts = Tiempo de establecimiento Ejemplo: en la figura 7 se n = nmero de FF tienen 3 FF J-K y una compuerta NAND con los siguientes tiempos de propagacin: Tiempo J-k NAND tPHL
Figura10. Contador asncrono Mod-6

= =

1 + +

40 ns 25 ns 15 ns

10 ns 10 ns

tPLH ts 32.5

Tiempo J-K = Tiempo

+ 40 +25 = = 2 2 10 +10 NAND = = 10 2

= =

. + +

= . = .

Como inconveniente los contadores sncronos necesitan una lgica adicional conectada a las entradas de los FF. El bloque lgico esta conformado por compuertas AND, NAND, OR, etc.

Figura 11. Contador sncrono de 4 bits

Para disear un contador sncrono se deben seguir los siguientes pasos: 1. Dibujar la tabla de transiciones donde se refleje el cambio de estado de los FF al llegar la seal de reloj. Ejemplo: En un contador BCD, despus del 0001 vendr el 0010, despus del 0111 el 1000, despus del 1001 el 0000, etc.

2. Decidir el tipo de FF para implementar el contador. Se puede hacer


con cualquiera de los existentes: RS, JK, T o D. 3. A partir de la tabla de excitacin del FF elegido, completar la tabla con las entradas de los FF para cada una de las transiciones del contador. 4. Obtener y simplificar las funciones. 5. Implementar el contador.

CONTADOR BCD SNCRONO CON FLIP-FLOP J-K


Al contrario que en los asncronos, en los que se parta del contador binario de 4 bits forzando un reset asncrono al llegar ste a 10 (1010), en los contadores sncronos esto se realiza en la fase de diseo y de forma sncrona, con las ventajas que esto conlleva.

I.

Dibujar la tabla de transiciones:


Estado actual Q3 0 0 Q2 0 0 Q1 0 0 Q0 0 1 Estado siguiente Q3 0 0 Q2 0 0 Q1 0 1 Q0 1 0

0
0 0 0 0 0 1 1

0
0 1 1 1 1 0 0

1
1 0 0 1 1 0 0

0
1 0 1 0 1 0 1

0
0 0 0 0 1 1 0

0
1 1 1 1 0 0 0

1
0 0 1 1 0 0 0

1
0 1 0 1 0 1 0

II. Elegir Flip-Flop


Se puede utilizar cualquiera de los FF conocidos para el contador. El problema es encontrar con cual de los FF vistos obtendremos el mejor diseo, esto es, la menor cantidad de compuertas lgicas. Qu FF ser el mejor? A priori no lo sabemos. Todos tienen sus ventajas e inconvenientes. Ya de inicio podemos rechazar el RS, ya que el JK hace lo mismo y adems posee la funcin Toggle, lo que le da una mayor versatilidad. Los FF D y T presentan la ventaja con respecto al JK de solo tener una entrada, por lo que tendremos que hallar menos funciones, pero por otra parte, el JK presenta en todas las combinaciones de su tabla de excitacin estados no importa, lo que puede hacer que las ecuaciones sean menos complejas. En resumen: Ninguno de los FF es el ptimo y el FF a usar depender del problema.

Para realizar el diseo hay que partir de la tabla de

excitacin de los

FF, ya que es la que indica que tenemos que

inyectar en las entradas para que se produzca una determinada transicin. En este caso, se utilizar un FF J-K. pero antes recordemos las tablas de excitacin de los FF:

Figura 12. Tablas de excitacin de los FF.

III. Obtener entradas de los FF

Figura 13. Tablas de excitacin del FF J-K para el contador BCD

IV. Obtener y simplificar las funciones lgicas


A partir de la tabla, se obtienen las funciones lgicas que excitarn los FF. Necesitamos un total de 8 funciones lgicas, ya que tenemos 4 FF y cada uno tiene 2 entradas. Lo mejor para obtener la expresin ms ptima es aplicando el mtodo de Mapas Karnaugh. En este caso particular, tenemos 10 estados que se corresponden del 0 al 9. El resto de estados hasta completar los diagramas no aparecen, por lo que sern estados no importa, lo cual nos ayudar a obtener expresiones ms reducidas. Esto es debido a que hemos usado el FFJK que, como hemos visto en su tabla de excitacin, para cada una de las transiciones tiene un estado no importa, lo que nos permitir obtener ecuaciones ms simples. Como inconveniente, al contrario que el D y el T,

el JK tiene 2 entradas, lo que nos supone tener que realizar el proceso el


doble de veces.

Los diagramas y ecuaciones obtenidos se pueden ver en la figura 14:

Figura 14. Diagramas de Karnaugh y ecuaciones de los FF

V. Implementar el contador
En la figura15 se puede ver como queda el contador diseado.

Figura 15. Contador MOD-10 sncrono.

Para un contador sncrono fmax permanece igual sin importar el nmero de bits. Pero es determinada por la mnima separacin entre impulsos a contar.

Tras una TPP de un pulso de entrada, habr un tiempo mnimo de espera limitado por el tiempo de propagacin de los Flip-Flops y el tiempo de propagacin de las compuertas AND, pero ahora se trata de un tiempo fijo y no es en funcin del nmero de FF como

ocurra en los asncronos, pero si del nmero de puertas AND.


Tmin = TP + (n - 2) tPc Donde: Tiempo tPHL tPLH J-k 40 ns 25 ns AND 15 ns 20 ns

n = numero de FF TP = Tiempo de propagacin de cada FF tpc= Tiempo de propagacin de la compuerta

Tabla con tiempos de transicin

Tiempo J-K =

+ 2

40 +25 2

= 32.5

Tiempo AND =

15 +20 2

= 17.5

Tmin = 32.5 ns + (4 2)17.5 ns = 67.5 ns fmax = 1/ Tmin = 14.8 Mhz

2.4

CONTADOR CON REGISTRO DE CORRIMIENTO


Un registro de corrimiento bsico es un conjunto de Flip-Flops

conectados de tal forma que los nmeros binarios almacenados en l son desplazados de un FF al siguiente con cada pulso de reloj aplicado. En la figura 16 se observa la forma de conectar 4 FF tipo D para construir un registro. Note como la salida de un FF se conecta a la entrada de otro adyacente.

Figura 16. Registro de corrimiento bsico

Con cada flanco ascendente de reloj la informacin se va desplazando hacia la derecha una posicin. En la Figura 17 se observan las formas de onda de las salidas de cada FF, adems se observa el desplazamiento de los datos de izquierda a derecha.

Figura 17. Formas de onda de un registro de 4 bits

Tipos de Entradas y Salidas en los Registros de Corrimiento Existen diversas formas de cargar o extraer informacin en un registro de corrimiento. En la figura 18 se muestran las distintas formas de mover la informacin.

Figura 18. Tipos de Entradas y Salidas en los registros de corrimiento

2.4.1 Contador en Anillo


El contador en anillo es un registro de corrimiento bsico en el
que los datos no se pierden al desplazarse, en ves de ello la informacin rota debido a que los FF de los extremos se encuentran interconectados, de tal forma que los datos se desplazan en forma de "anillo". En la Figura 19 se observa la configuracin de un contador de este tipo y la forma en que se desplazan los datos entre FF. Asumiendo que el estado inicial

del contador en anillo es 1000


(Q3=1, Q2=0, Q1=0, Q0=0),
Figura 19. Contador de Anillo.

los estados que se presentaran en este contador seran los mostrados en la tabla siguiente. Despus del cuarto pulso de reloj el estado inicial se repite.

Inicializacin de un Contador de Anillo


Para que un contador de Anillo opere de manera apropiada debe iniciar

con un solo FF en estado 1 y todos los dems en estado 0.


Para lograr esto se debe aplicar un pulso momentneo a la entrada asncrona de uno de los FF, en este caso a Q3 de la fig. 20 y a la entrada CLR de todos los otros FF. En la Figura 15 se muestra otro mtodo. Al momento del encendido el

capacitor se cargar relativamente lento a +Vcc. La salida del INVERSOR 1


permanecer en ALTO y la salida del INVERSOR 2 estar el BAJO hasta que el voltaje del capacitor exceda el voltaje de umbral de transicin positiva (VT+) de la entrada del INVERSOR 1(aproximadamente 1.7 V). Esto mantendr la

entrada de Q3, y las entradas CLR Q2 Q1 Q0 en estado BAJO lo suficiente para


asegurar que el contador inicie en 1000.

Figura 20. Circuito para asegurar que el contador de anillo inicie en 1000

2.4.2

Contador de Johnson

Existe otro contador en anillo llamado contador Johnson, el cual tiene un funcionamiento similar al contador en anillo, excepto que el estado del ltimo FF se realimenta al primero a travs de la salida invertida. En al figura 21a se observa el diagrama lgico de este contador.

(a)

(b)

(c)

Fig. 21 a) Contador Johdon MOD 6 y forma de onda b) Tabla de secuencia. c) diagrama de estados.

El anlisis de las formas de onda y la tabla de secuencia revelan los siguientes datos: 1. El contador tiene 6 estados diferentes: 000, 100, 110, 111, 011 y 001 antes de que se repita la secuencia. Por consiguiente se trata de un contador Johnson MOD-6. No tiene una secuencia de conteo normal. 2. La forma de onda de cada FF es de un sexto de la frecuencia de reloj. Las formas de onda de los FF se desplazan un pulso de reloj con respecto a la otra.

Decodificacin de un contador de Johnson Para un MOD asignado este contador solo necesita la mitad de FF que requiere un contador de anillo, sin embargo necesita una compuerta para decodificar cada conteo de salida

Figura 22. Lgica de decodificacin de un contador Johnson MOD-6

Vous aimerez peut-être aussi