Vous êtes sur la page 1sur 165

Anne 2005

Thse
Prpare au Laboratoire dAnalyse et dArchitecture des Systmes du CNRS En vue de lobtention du Doctorat de lInstitut National des Sciences Appliques de Toulouse Ecole doctorale : Gnie Electrique, Electronique, Tlcommunications Spcialit : Conception des Circuits Microlectroniques et Microsystmes

Par Sylvaine

MURATET

tel-00011349, version 1 - 11 Jan 2006

Conception, caractrisation et modlisation : Fiabilit prdictive de MEMS actionnement lectrothermique

Soutenue le 24 novembre 2005 devant le jury : Prsident Directeur de thse Rapporteurs J.M. DILHAC J.Y. FOURNIOLS T. KAZMIERSKI C. PELLET Examinateurs M. DESMULLIEZ D. ESTEVE Invit J. OUDINOT

Rapport LAAS N

tel-00011349, version 1 - 11 Jan 2006

ii

REMERCIEMENTS
Les travaux prsents dans ce manuscrit ont t effectus au Laboratoire dAnalyse et dArchitecture des Systmes du Centre National de la Recherche Scientifique (LAAS-CNRS), dirig au cours de mon doctorat successivement par MM. Jean-Claude Laprie et Malik Ghallab, que je tiens remercier cordialement pour leur accueil. Jadresse galement mes plus sincres remerciements Mme Anne-Marie Gu, directeur de recherche au CNRS et responsable du groupe Microsystmes et Intgration des Systmes, pour mavoir accepte au sein de son quipe.

tel-00011349, version 1 - 11 Jan 2006

Je suis galement reconnaissante pour le temps et le travail accords par lensemble des membres du jury de ma thse : M. Marc Desmulliez, matre de confrences luniversit Heriot-Watt, Grande-Bretagne ; M. Jean-Marie Dilhac, professeur lInstitut National des Sciences Appliques, Toulouse ; M. Daniel Estve, directeur de recherche au CNRS ; M. Tom Kazmierski, professeur luniversit de Southampton, Grande-Bretagne ; M. Jean Oudinot, Directeur Marketing Technique, Mentor Graphics ; M. Claude Pellet, professeur luniversit de Bordeaux 1. En particulier, je remercie MM. T. Kazmierski et C. Pellet davoir accept dtre les rapporteurs de cette thse. Je tiens remercier M. Jean-Yves Fourniols, mon directeur de thse, pour la confiance quil ma accorde les yeux (presque) ferms, mais aussi pour le temps ncessaire quil a su trouver malgr ses nombreuses responsabilits. Je lui exprime particulirement ma reconnaissance pour tous ses encouragements, aussi bien professionnels que personnels, qui mont t dun grand secours au cours des moments difficiles rencontrs dans la prparation de ce doctorat. Je veux galement remercier les personnes directement lies mes travaux. Tout dabord, M. Abdelkader Aliane qui ma particulirement aide au cours de son stage de DEA, M. Srikanth Lavu pour le partage de nos expriences de thse dont le sujet est trs proche, et surtout pour son aide linguistique (Thanks Srikanth !), mais aussi M. Antoine Marty pour toutes les discussions rassurantes et constructives que nous avons eues.

iii

Merci M. Vincent Nicomette pour son amiti, sa prsence rassurante et sa bonne humeur, mais aussi M. Christophe Chassot pour son rle de livre aussi bien dans la course pied que dans la rdaction de nos manuscrits. Je tiens aussi remercier les autres personnes du LAAS qui mont permis davoir un cadre de travail agrable, en particulier Mme Nicole Higounet qui ma accompagne dans les dmarches administratives tout au long de mon sjour au LAAS ; M. Christian Berty qui a toujours t disponible pour faire des reliures de dernires minutes ou pour discuter quand le besoin sen faisait sentir ; Mme Corinne Moulin, M. Eric Le Denmat qui ont toujours su trouver les bons mots pour remonter le moral lorsque cela tait ncessaire ; et enfin M. Daniel Daurat pour son soutien technique audiovisuel. Merci galement aux autres personnes que jai ctoyes au cours de ces annes passes au LAAS : Petra (pour son accueil et sa disponibilit au cours de mon DEA), Alex (pour sa prsence dans ma dcouverte des MEMS et de loutil Cadence), Lo (pour son aide dans la ralisation des circuits lectroniques et des PCB), mais aussi Abdelelah, Daniela, M. Camon, Christophe, Nicolas R., Yann, Nicolas L., Samuel,

tel-00011349, version 1 - 11 Jan 2006

Je souhaite remercier trs sincrement tous mes proches (mes parents, mon frre, pp, M. et Mme Alain et Monique Auriol, Olivier) qui mont accompagne avec beaucoup de patience dans cette aventure prouvante quest la prparation dun doctorat et qui ont fait preuve dune grande confiance envers le parcours que jai choisi. Enfin, je tiens terminer ces remerciements par une pense trs importante mes yeux pour Guillaume dont la prsence et les encouragements mont t prcieux pour arriver au terme de la prparation de ce doctorat, et qui restera mon indispensable force personnelle pour la ralisation de tous mes (et nos) projets.

iv

TABLE DES MATIERES


Introduction gnrale ............................................................................................................................. 1 1 La fiabilit des microsystmes ........................................................................................................... 1 1. Les microsystmes ....................................................................................................................... 1 2. La fiabilit des microsystmes ..................................................................................................... 2 2 Problmatique ................................................................................................................................... 3 1 Description .................................................................................................................................... 3 2 Problmes...................................................................................................................................... 3 3 Solution propose et dmarche adopte ............................................................................................ 3 Chapitre 1 tat de lart ............................................................................................................... 5

1 Fiabilit des microsystmes............................................................................................................... 5

tel-00011349, version 1 - 11 Jan 2006

1 Les diffrentes approches.............................................................................................................. 5 1.1 Fiabilit dun procd technologique..................................................................................... 5 1.2 Fiabilit dun systme complet .............................................................................................. 6 2 Les mcanismes de dfaillance des microsystmes....................................................................... 6 2.1. Les mcanismes de dfaillance issus de la fabrication.......................................................... 7 2.2. Les mcanismes de dfaillance induits par le fonctionnement ............................................. 8 3. Conclusion.................................................................................................................................. 10 2 Notre mthodologie dtude de la fiabilit ...................................................................................... 10 2 Les actionneurs microsystmes........................................................................................................ 12 1. Les diffrents types dactionnement dans les microsystmes .................................................... 12 2. Lactionnement lectrostatique .................................................................................................. 13 2.1. Phnomnes physiques ....................................................................................................... 13 2.2. Exemples de structures ....................................................................................................... 15 2.2.1. Les miroirs lectrostatiques ......................................................................................... 15 2.2.2. Les actionneurs lectrostatiques .................................................................................. 16 2.2.3. Les moteurs lectrostatiques........................................................................................ 16 2.3. Conclusion .......................................................................................................................... 17 3. Lactionnement lectrothermique............................................................................................... 17 3.1. Phnomnes physiques ....................................................................................................... 18 3.2. Exemples de structures ....................................................................................................... 19 3.2.1. Les actionneurs verticaux ............................................................................................ 19 3.2.2. Les actionneurs en forme de U .................................................................................... 21 3.2.3. Les actionneurs en chevron ......................................................................................... 22 4. Conclusion.................................................................................................................................. 22

Chapitre 2

Modlisation des actionneurs lectrothermiques ................................................. 25

1 Les techniques de modlisation des microsystmes......................................................................... 25 1. La modlisation aux lments finis ............................................................................................ 26 2. La modlisation analytique ........................................................................................................ 27 3. La modlisation par quivalent lectrique.................................................................................. 28 4. Conclusion.................................................................................................................................. 28 2 Lactionneur lectrothermique en forme de U................................................................................. 28 1 Principe de fonctionnement de lactionneur................................................................................ 28 2 Modlisation aux lments finis.................................................................................................. 29 2.1 Construction du modle ....................................................................................................... 30 2.2 Les rsultats de la modlisation ........................................................................................... 32 2.3 Exploitation du modle ........................................................................................................ 34 3 Modlisation analytique de lactionneur ..................................................................................... 35 3.1. La modlisation lectrothermique....................................................................................... 35

tel-00011349, version 1 - 11 Jan 2006

3.1.1. Les quations mathmatiques ...................................................................................... 35 3.1.2. Les rsultats des simulations ....................................................................................... 42 3.2. La modlisation thermomcanique ..................................................................................... 47 3.2.1. La modlisation base sur une mthode nergtique................................................... 47 3.2.2. Application lactionneur lectrothermique en forme de U........................................ 49 3.2.3. Les rsultats des simulations ....................................................................................... 51 4 Modlisation analytique de lactionneur avec le langage VHDL-AMS...................................... 54 4.1. La modlisation lectrothermique....................................................................................... 54 4.1.1. Le circuit lectrique quivalent ................................................................................... 54 4.1.2. Les rsultats des simulations ....................................................................................... 57 4.2. La modlisation thermomcanique ..................................................................................... 60 5 Etude de la sensibilit du modle vis--vis des paramtres dentre du modle ......................... 61 5.1 Dfinition ............................................................................................................................. 62 5.2 Phnomnes physiques lis la variation des paramtres dentre du modle.................... 63 5.3 Sensibilits des diffrents paramtres dentre du modle analytique de lactionneur lectrothermique en forme de U............................................................................................................... 64 5.3.1. Remarque sur le rapport = lc l h ............................................................................ 64 5.3.2. La longueur de lactionneur, l h .................................................................................. 65 5.3.3. La largeur du bras chaud, wh ..................................................................................... 67 5.3.4. La largeur du bras froid, wc ....................................................................................... 69 5.3.5. La largeur du bras flexion, w f ................................................................................... 70 5.3.6. La largeur du bras de jonction,

w j ............................................................................. 71

5.3.7. Lespacement entre les deux bras, g .......................................................................... 71

vi

5.3.8. Lpaisseur de la couche structurelle, h ..................................................................... 73 5.3.9. La distance entre lactionneur et le substrat, t v .......................................................... 75 5.3.10. La rsistivit du niveau structurel temprature ambiante,

............................... 75

5.3.11. Le coefficient dexpansion thermique, TCE ........................................................... 76 5.3.12. La conductivit thermique du niveau structurel, k p ................................................. 77 5.3.13. La conductivit thermique du milieu ambiant, k v .................................................... 78 5.4 Classement des paramtres en fonction de la sensibilit du modle .................................... 78 5.5 Conclusion ........................................................................................................................... 80 Chapitre 3 Conception et caractrisation des vhicules de test.............................................. 83

1 Introduction ..................................................................................................................................... 83 2 Les technologies utilises ................................................................................................................ 83

tel-00011349, version 1 - 11 Jan 2006

1 La technologie polyMUMPS de Memscap ................................................................................. 83 2 La technologie Epi-SOI de TRONICS Microsystems ............................................................... 87 3 Les vhicules de test ........................................................................................................................ 90 1 Le vhicule de test Memscap2003 ........................................................................................ 90 2 Le vhicule de test Memscap2004 ........................................................................................ 93 3 Le vhicule de test MPW2004 .............................................................................................. 94 4 Nomination des actionneurs lectrothermiques........................................................................... 95 5 Conclusion................................................................................................................................... 96 4 Les tests de caractrisation ............................................................................................................. 96 1 Prsentation du banc de test ........................................................................................................ 97 2 Le droulement des tests de caractrisation .............................................................................. 100 3 Logiciel de traitement des images prises pendant les tests........................................................ 100 3.1 Calcul de la taille du pixel ................................................................................................. 101 3.2 Extraction de la pointe de lactionneur. ............................................................................. 103 3.3 Traitement sur les valeurs de lintensit lumineuse de la pointe de lactionneur............... 104 3.4 Calcul du dplacement. ...................................................................................................... 105 3.5 Trac du dplacement en fonction de lindice des photos.................................................. 105 5 Validation du modle analytique de lactionneur lectrothermique en forme de U ...................... 106 1 Modlisation avec les valeurs classiques des paramtres gomtriques et technologiques....... 106 2 Dtermination des dimensions relles des actionneurs et caractrisation de la surgravure....... 109 3 Dtermination de la rsistivit temprature ambiante et du coefficient de variation de la rsistivit avec la temprature .................................................................................................................... 112 4 Evaluation du TCE et prise en compte de la variation du TCE avec la temprature ........... 116 6 Etude sur linfluence de la pression sur le fonctionnement des actionneurs lectrothermiques en forme de U ...................................................................................................................................................... 119

vii

7 Conclusion..................................................................................................................................... 120 Chapitre 5 Etude de la fiabilit des actionneurs lectrothermiques en forme de U ............ 121

1 Introduction ................................................................................................................................... 121 2 Particularits observes pendant les tests ..................................................................................... 121 1 Le back bending .................................................................................................................. 121 2 Adhsion de lactionneur avec le substrat ................................................................................. 123 3 Flambement du bras chaud........................................................................................................ 124 3 Les tests de vieillissement .............................................................................................................. 127 1 Le protocole de test et dfinition du critre de vieillissement ................................................... 127 2 Les influences du vieillissement sur la structure et son comportement..................................... 128 3 Prsentation des rsultats .......................................................................................................... 129 3.1 Le vieillissement est-il dpendant de la forme du signal appliqu ? .................................. 129 3.2 Le vieillissement dpend-il de lpaisseur du niveau structurel choisi pour fabriquer la

tel-00011349, version 1 - 11 Jan 2006

structure ?............................................................................................................................................... 131 4 Conseils de conception pour allonger la dure de vie de lactionneur ...................................... 132 4.1 Influence de la prsence dune couche de poly0 sous lactionneur.................................... 132 4.2 Influence de la rsistance vide de lactionneur................................................................ 134 4 Modle empirique du vieillissement .............................................................................................. 135 5 Signature lectrique du vieillissement de lactionneur lectrothermique en forme de U ? ........... 136 6 Conclusion..................................................................................................................................... 137 Conclusion gnrale et Perspectives .................................................................................................. 139 1 Conclusion..................................................................................................................................... 139 2 Principales perspectives ................................................................................................................ 140 Bibliographie de lauteur.................................................................................................................... 145 Annexes ................................................................................................................................................ 147 1. Code des modles VHDL-AMS mis en place ................................................................................ 147 1.1 Modle du comportement lectrothermique dun lment discret ......................................... 147 1.2 Modle du comportement lectrothermique dun pont suspendu contenant 10 lments discrets .................................................................................................................................................................... 147 1.3 Modle complet de lactionneur lectrothermique en forme de U ......................................... 150 2. Calcul de la flche dun actionneur lectrothermique en forme de U soumis lacclration gravitationnelle............................................................................................................................................... 152 2. Calcul de la dflexion dune poutre sous laction dune force extrieure .................................... 154

viii

Introduction gnrale

A travers cette introduction gnrale, nous allons donner le cadre dans lequel sinscrivent les travaux prsents dans ce manuscrit. Ce sera donc loccasion de donner une premire ide de ce quest la fiabilit des microsystmes et de la mthodologie que nous avons cherch mettre en place au cours de cette thse.

1 La fiabilit des microsystmes


Les travaux de cette thse sont centrs sur ltude de la fiabilit des microsystmes. Les microsystmes seront donc prsents dans un premier temps avant daborder leur tude de la fiabilit.

1. Les microsystmes tel-00011349, version 1 - 11 Jan 2006


Plusieurs dfinitions sont utilises pour dsigner ces systmes fabriqus lchelle microscopique. La Figure 1 fournit lexemple dune fourmi tenant un rotor de moteur (photo utilise pour la couverture du livre Lee, H.H., Fundamentals of Microelectronics Processing, McGraw-Hill, 1990) mais aussi lexemple dune mite jouant avec un systme dengrenage. Les microsystmes, au sens de la dfinition europenne, sont des systmes miniaturiss intelligents qui combinent des capteurs et des actionneurs des fonctions de traitement du signal et de linformation. Ce terme laisse donc apparatre la notion de multidisciplinarit propre ces systmes. En effet, les signaux utiliss sont aussi bien mcaniques, lectroniques quoptiques, thermiques, Il faut noter que les amricains utilisent lacronyme MEMS qui signifie Micro Electro Mechanical Systems.

Figure 1 : Illustration de la taille des microsystmes : fourmi soulevant un rotor de moteur [Lee1990] et un
acarien jouant avec un systme dengrenage [Sandia2005].

Si lon cherche dater lorigine des microsystmes (et plus prcisment des nanosystmes ), on pourrait citer une confrence donne par le professeur Richard P. Feynman [Feynman1959] au cours de la runion annuelle de lAmerican Physical Society en 26 dcembre 1959. Le titre de son allocution Theres a Plenty of Room at the Bottom que lon peut traduire par Il y a plein despace en bas de lchelle , avait pour but dattirer lattention sur lintrt de la miniaturisation non pas en terme de taille ou de volume, mais sur le fait que la miniaturisation dun systme rend possible la multiplication des fonctions ralises par celui-ci. Les dveloppements de la micro-mcanique sont bass sur les matriaux de la microlectronique, comme le silicium et le polysilicium, qui possdent des proprits mcaniques intressantes pour les applications vises. En effet, ces matriaux ont des modules de Young trs levs et ils travaillent presque toujours dans le domaine lastique et non plastique. Les annes 1990 ont vu lexplosion des applications industrielles et lapparition des technologies standardises utilises pour les diffrentes applications. Cest ainsi que les premiers miroirs lectrostatiques ont t dvelopps par Texas Instruments pour crer les vido-projecteurs, ou encore les premiers acclromtres fabriqus par Analog Device pour leur utilisation dans les airbags. En conclusion, les microsystmes prsentent des avantages de taille (miniaturisation), de multidisciplinarit, de consommation et commencent tre utiliss au niveau industriel.

tel-00011349, version 1 - 11 Jan 2006

2. La fiabilit des microsystmes


Mais les microsystmes nchappent pas ltape cruciale de ltude de la fiabilit. Pour dfinir la fiabilit dun tel systme, il faut recenser les mcanismes de dfaillances introduisant un comportement dfaillant du dispositif plus ou moins long terme. Par des tudes statistiques, lanalyse de la fiabilit permet donc de dterminer la dure de vie moyenne du composant. Cest ainsi quen microlectronique, on se base sur un grand nombre de composants et sur des tudes statistiques pour dterminer leurs dures de vie. Cependant dans le domaine des microsystmes, en ltat actuel des recherches, les travaux portent encore sur lidentification des mcanismes de dfaillance. Pour cela, les structures sont observes en fin de fabrication mais aussi pendant des tests de vieillissement acclrs. A partir de ces observations menes laide de microscope optique ou microscope lectronique balayage (MEB), ou encore de dcoupe au microscope faisceau dions focaliss (FIB), des listes de dfaillances ont t tablies pour les diffrents types de technologies [Charlot2001] ou encore suivant les origines des dfauts. Les tudes de la fiabilit des microsystmes semblent donc prendre le chemin de la microlectronique avec lidentification des mcanismes de dfaillance puis ltude statistique dapparition de ces dfauts sur un grand chantillon de structures.

Il nexiste donc pas de mthode dtude de fiabilit spcifique au cas de microsystmes en dehors de ltude statistique dapparition de dfauts pralablement identifis.

2 Problmatique
1 Description
Cependant ces techniques issues de la microlectronique, bases sur des tudes statistiques, ncessitent une grande quantit dchantillons. Elles ne peuvent donc tre menes que pour des systmes fabriqus en grande quantit. Il sagit par exemple des microsystmes peu chers ou bien des MEMS industrialiss (tels que les micro-miroirs de Texas Instrument). La problmatique de notre travail se situe donc au niveau de ltude de la fiabilit des microsystmes qui ne peuvent pas tre fabriqus en grande quantit. Cest par exemple le cas des microsystmes fabriqus et tests en laboratoire avant leur ventuelle commercialisation.

tel-00011349, version 1 - 11 Jan 2006

2 Problmes
Pour pouvoir tudier la fiabilit dun microsystme forte valeur ajoute, il faut pouvoir rsoudre deux problmes avant sa fabrication. Il sagit de prdire son fonctionnement pour pouvoir loptimiser la fois en fonction de sa fonction attendue et de la dure de vie dsire. En rsolvant ces problmes avant la fabrication du microsystme ou en minimisant les cycles de fabrication, cela permet de rduire le cot de sa conception. Cela rpond donc la problmatique de ltude de la fiabilit des microsystmes fabriqus en faible quantit.

3 Solution propose et dmarche adopte


La solution propose dans cette thse pour rpondre ces problmes est une mthodologie de conception prenant appui sur un prototype virtuel. Il sagit donc de crer un modle virtuel du systme pour pouvoir loptimiser avant sa fabrication.
Fonctionnalits/Cond. envi. dutilisation Prototypage virtuel
B de anq do ue ma nn tr es iau x
s de tils ion ou ulat im

Optimisation Modlisation

Insertion de dfauts
Fiabilit

Figure 2 : Description de la mthodologie de lanalyse prdictive de fiabilit et doptimisation des MEMS. Dans le but datteindre cet objectif, la dmarche suivante va tre adopte : 3

Dans un premier temps, nous aborderons les premiers travaux raliss sur la fiabilit des microsystmes. Ce sera loccasion de lister les mcanismes de dfaillance identifis dans les microsystmes ce jour, de prsenter plus en dtail notre mthodologie danalyse de la fiabilit des microsystmes et de terminer sur le choix dune structure actionnement lectrothermique qui sera utilise dans la suite des travaux pour montrer la faisabilit de cette mthodologie.

Dans un deuxime temps, nous prsenterons la modlisation de lactionneur lectrothermique en forme de U. Cela permettra daborder les diffrents outils de modlisation des microsystmes : lments finis mais aussi et surtout lutilisation du langage VHDL-AMS. Cette partie sera complte par ltude de la sensibilit du modle afin didentifier les paramtres influents.

Dans un troisime temps, nous traiterons de la ralisation et de la caractrisation des vhicules de test. Pour cela, nous dcrirons les procds technologiques utiliss et les vhicules de test conus. Au sujet des tests, nous prsenterons le banc utilis pour la caractrisation des structures ainsi que les rsultats de validation du modle analytique.

tel-00011349, version 1 - 11 Jan 2006

Enfin, nous aborderons des analyses de la fiabilit que nous avons menes sur lactionneur lectrothermique en forme de U qui permettront de conclure sur un modle empirique du vieillissement de cette structure et sur un paramtre lectrique permettant de prdire le vieillissement de la structure.

Ce document se termine par la prsentation des conclusions et des principales perspectives

Chapitre 1

tat de lart

1 Fiabilit des microsystmes


Le but de cette partie est de poser la question de ltude de la fiabilit des MEMS. En effet, pour tout systme, ltude de la fiabilit est une tape importante pour pouvoir passer du stade du laboratoire aux applications industrielles. Les critres utiliss pour faire de ltude de la fiabilit dans le domaine de la microlectronique sont le MTTF1 et le FIT2. Ces critres sont dtermins par des tudes statistiques sur un grand nombre dchantillons. Cette approche nest donc pas applicable au cas des MEMS forte valeur ajoute (i.e. de laboratoire). La problmatique de la fiabilit des microsystmes se situe donc plutt dans lidentification [Charlot2001] et la comprhension [Millet2003] des mcanismes de dfaillance afin doptimiser la conception et la fabrication, pour ensuite envisager ltude de la fiabilit. Dans cette partie, nous allons, dans un premier temps, dcrire les deux mthodes utilises ce jour pour raliser une tude de fiabilit des microsystmes pour ensuite, dans une seconde partie, lister et tenter de dcrire les mcanismes de dfaillance identifis sur les microsystmes.

tel-00011349, version 1 - 11 Jan 2006

1 Les diffrentes approches


Estimer la fiabilit dun microsystme est une tape primordiale dans la phase de dveloppement dun produit. Nanmoins, cette tape savre difficile compte tenu du nombre important de facteurs influents : problmes de conception, de fabrication, de manipulation ou dutilisation. Les travaux publis jusqu aujourdhui sur la fiabilit des microsystmes peuvent tre scinds en deux parties : ceux qui sintressent un procd de fabrication et ceux qui traitent du systme complet.

1.1 Fiabilit dun procd technologique


Dans le premier cas, il sagit de caractriser les procds technologiques proposs pour raliser des microsystmes. Cela revient caractriser les paramtres gomtriques et mcaniques de briques lmentaires telles que les poutres cantilevers, les membranes ou encore les ponts. En fonction de cette caractrisation, le rendement de la fabrication pourra tre dtermin. Ce mme principe est utilis en microlectronique avec les WLR3 correspondant la caractrisation de structures de test se
1 2 3

Mean-Time-To-Failure ou temps moyen menant la dfaillance Failure-In-Time ; 10 FITs est quivalent 10-8 dfaillances par heure Wafer Level Reliability

trouvant parmi dautres systmes sur un substrat. Ces tests permettent de dterminer des zones dfaillantes la fin dun procd de fabrication. Dans le cas des microsystmes, il sagira de caractriser les procds technologiques en mesurant les paramtres technologiques des matriaux comme le module de Young, le stress interne dans les couches, les conductivits thermiques, Vue sous cet angle, ltude de la fiabilit se contente de valider un procd technologique. Mais il ny est pas question de regarder le fonctionnement des systmes fabriqus. Cest ce qui est trait dans la seconde approche de ltude de la fiabilit des microsystmes.

1.2 Fiabilit dun systme complet


Une fois que la technologie de fabrication est caractrise et fiabilise, il est ncessaire dtudier la fiabilit propre du microsystme, cest dire celle qui est lie directement son utilisation et son domaine environnemental dutilisation. On peut alors se confronter un cahier des charges dpendant de la fonction remplir par le microsystme mais aussi et surtout du nombre de cycles de

tel-00011349, version 1 - 11 Jan 2006

fonctionnement minimum garanti. Il faut alors trouver une mthode pour respecter ce cahier des charges. La premire solution [Dardalhon2003] est (1) dvaluer un nombre important dchantillons sous diffrentes conditions environnementales de fonctionnement afin dobtenir des rsultats statistiques, (2) crer des modles de fiabilit prdictifs pour permettre la quantification de la fiabilit sous ces diffrentes conditions, et (3) de raliser des tests de vieillissement grande chelle pour venir valider la fiabilit des produits. La deuxime possibilit est destimer la dure de vie du composant travers la modlisation des mcanismes de dfaillance tout en prenant en compte les contraintes dexploitation. Mais quelle que soit lapproche choisie pour tudier la fiabilit dun microsystme, il est ncessaire de connatre les mcanismes de dfaillances.

2 Les mcanismes de dfaillance des microsystmes


Nous allons donc dans cette partie lister les mcanismes de dfaillance identifis ce jour sur les microsystmes. Mme si les procds technologiques utiliss pour fabriquer les microsystmes sont proches de la microlectronique, la pluridisciplinarit et lhtrognit des matriaux utiliss gnrent des mcanismes de dfaillance diffrents de ceux de la microlectronique classique. Tout comme les approches possibles de ltude de la fiabilit des microsystmes, les mcanismes de dfaillance peuvent tre classs en deux catgories suivant quils soient induits par la fabrication ou par les conditions de fonctionnement.

2.1. Les mcanismes de dfaillance issus de la fabrication


Les tapes technologiques dun procd bas sur du micro usinage de surface sont : oxydation, dpt, photolithographie, attaque chimique, implantation ionique, recuit, gravure humide. Toutes ces tapes peuvent engendrer divers dfauts [Castillejo1998]. On peut, entre autres, lister : Le collage : le collage peut se faire entre deux structures ou entre une structure et le substrat. Il peut tre d : o aux forces dattraction exerces pendant le schage de la structure, aprs libration des structures ;

tel-00011349, version 1 - 11 Jan 2006

Figure 3 : Collage dun peigne dacclromtre avec le substrat. o cause dune mauvaise gravure de la couche structurelle

Figure 4 : Collage entre les deux bras de ressort dun acclromtre du un problme de gravure de la couche
de silicium.

Fractures : des problmes dalignement des masques au cours des tapes de lithographie ou encore une surgravure peuvent entraner des ruptures de parties suspendues :

Figure 5 : Rupture dun bras dun actionneur lectrothermique suite des problmes de gravure ou
dalignement des masques de lithographie.

Les contraintes rsiduelles : quand les couches de polysicilicum sont dposes, elles prsentent frquemment des contraintes internes rsiduelles. Les procds technologiques employs cherchent naturellement minimiser ces contraintes. Mais au moment de la libration des couches sacrificielles, les structures vont se dformer sous leffet de ces contraintes internes. La Figure 6 montre une photo MEB dun miroir lectrostatique dont on aperoit la dformation de la membrane sous leffet des contraintes internes du matriau. Ces dformations peuvent entraner des problmes de non-alignement de structures (peignes interdigits), de collage par adhsion ou de court-circuit lectrique.

tel-00011349, version 1 - 11 Jan 2006

Figure 6 : Exemple de membranes de miroirs lectrostatiques dformes sous leffet des contraintes internes
la couche structurelle.

2.2. Les mcanismes de dfaillance induits par le fonctionnement


Diffrents travaux ont t raliss pour identifier et classer les mcanismes de dfaillance lis lutilisation des microsystmes. On peut citer entre autres les travaux des laboratoires Sandia [Sandia2005] qui ont permis de classer les mcanismes de dfaillance suivant le mode de fonctionnement de la structure (par exemple avec ou sans frottements).

Parmi les mcanismes mis jour, on peut en citer quelques uns : La fatigue : Cest un phnomne li aux efforts mcaniques subis par le matriau et qui tend diminuer sa rsistance la rupture en fonction du temps. De nombreux travaux ont t raliss pour valuer cette dgradation en fonction du nombre de cycles de fonctionnement [Kapels2000] , [Bagdahn2001] , ou encore [Muhlstein2000] . Cette diminution de la rsistance la rupture peut entraner des erreurs de fonctionnement des microstructures avec, par exemple, des propagations de fissures. Le fluage : A des tempratures leves, un matriau soumis une contrainte infrieure ou suprieure sa limite dlasticit, prsente une dformation plastique voluant dans le temps et pouvant conduire ventuellement la rupture [Merlijn2003]. Ce phnomne, appel fluage, peut donc tre lorigine de la diminution de la dure de vie de certains matriaux dont la temprature de fusion est faible. La friction : il sagit dun phnomne physique issu du frottement solide cr par le mouvement entre deux matriaux en contact. Ce mcanisme est difficilement modlisable par rapport au frottement fluide qui peut se modliser en premire approximation une composante linaire. Le frottement solide est plus complexe car il fait intervenir la rugosit, les forces de surface et la prsence ventuelle de lubrifiants. Dans le domaine des microsystmes, ce mcanisme de dfaillance apparat essentiellement dans les applications comportant des liaisons pivot comme les micro-moteurs lectrostatiques ou les micromiroirs sur pivot. Ce mcanisme peut entraner des problmes dusure mcanique, de la gnration de dbris qui peuvent, leur tour, tre assimils des particules contaminantes. Les effets thermiques : Les lectrothermiques peuvent tre exploits comme par exemple leffet de la dilatation thermique des micro-actionneurs qui seront prsents dans la suite du manuscrit. Cependant, les tempratures et les contraintes importantes subies par les structures peuvent induire des mcanismes de dfaillance tels que llectromigration ou des transformations cristallines lintrieur des matriaux. Les modes de dfaillance lis aux surcharges thermiques sont des dviations paramtriques dans les domaines lectriques et mcaniques, mais galement des cassures et des dformations permanentes.

tel-00011349, version 1 - 11 Jan 2006

Figure 7 : Electromigration dans le bras dit chaud dun actionneur lectrothermique en forme de U cause
des effets thermiques.

3. Conclusion
Les approches de la fiabilit des microsystmes et des mcanismes de dfaillance sont donc

tel-00011349, version 1 - 11 Jan 2006

lies soit la fabrication soit lutilisation. Mais toutes ces approches sont bases sur des tests grande chelle. Pour raliser une tude de fiabilit de microsystmes fabriqus en faible quantit, nous allons mettre en place une mthodologie base sur le prototypage virtuel.

2 Notre mthodologie dtude de la fiabilit


Le prototype virtuel est cr partir des spcifications en utilisant des outils de simulation et des banques de donnes des matriaux. Ltude de la fiabilit (Figure 2) peut alors tre mene en injectant les dfauts dj identifis et en faisant des modlisations et optimisations de la structure avant mme sa fabrication. Ainsi, contrairement la mthodologie base dtude statistique, cette mthode permet doptimiser les systmes avant mme leur fabrication. Le droulement de la mise en place du prototype virtuel peut se rsumer dans la Figure 8.

10

Spcifications
Fonction attendue, dure de vie, conditions environnementales dutilisation

Conception
Layout, choix de la technologie

Modlisation comportementale
mauvais correct

Prototype virtuel
Injection locale de dfauts avec loi dvolution

Simulation fiabilit
mauvaise Optimisation comportementale correcte

Fabrication Tests
Validation des modles

tel-00011349, version 1 - 11 Jan 2006

Optimisation de la fiabilit

Figure 8 : Droulement de la mise en place du prototype virtuel. Dans un premier temps, il faut identifier les spcifications du systme que lon souhaite fabriquer. Il sagit donc de lister les fonctions attendues, la dure de vie estime, les conditions environnementales dutilisation Ensuite, il faut passer ltape de conception. Elle concerne donc le choix de la technologie et des matriaux pour la fabrication. Une fois que ce choix est fait, il faut raliser les layouts de fabrication. Cest donc cette tape que lon dtermine les paramtres gomtriques et technologiques du systme. Grce ces paramtres, nous pouvons ensuite mener une modlisation comportementale. Elle peut tre ralise avec la mthode des lments finis ou bien analytiquement. A ce stade, on peut mener une optimisation comportementale en revenant sur les paramtres technologiques et gomtriques pour obtenir le comportement attendu de la structure. Une fois que le comportement nominal de la structure est valid, on peut passer llaboration du prototype virtuel. Pour cela, on sappuie sur les listes des mcanismes de dfaillance dj identifis. Ainsi, en ne gardant que ceux qui sont susceptibles dapparatre dans la structure choisie, on pourra atteindre une reprsentation virtuelle du comportement rel de la structure. A ce stade, on peut de nouveau optimiser la structure pour vrifier la dure de vie estime et dsire. On peut donc voir que cette mthodologie sappuie sur une connaissance des paramtres technologiques des matriaux utiliss, ainsi que sur les mcanismes de dfaillance. Or les connaissances dans ces domaines ne sont pas encore trs tendues. Nous pourrons voir travers cette thse que le manque de connaissance sur les proprits des matriaux peut tre la source de difficults

11

dans llaboration des modles comportementaux. De mme la modlisation des mcanismes de dfaillance nest pas encore trs dveloppe ce qui nous imposera dutiliser des modles empiriques pour finaliser le prototype virtuel. De plus amples travaux seront ncessaires pour mettre en place des modles physiques des dfauts. Pour montrer la faisabilit de cette mthodologie, nous avons t contraint de faire un choix parmi les diffrents types dactionnement existant dans les microsystmes.

2 Les actionneurs microsystmes


1. Les diffrents types dactionnement dans les microsystmes
Dans le monde des MEMS, il existe plusieurs principes physiques qui permettent de raliser des actions lectromcaniques. Parmi ceux-ci, on peut citer : Lactionnement lectromagntique : il sagit dune membrane ferromagntique mobile qui est mise en mouvement sous laction de bobines. Il fait donc appel des matriaux spcifiques qui ne sont pas utiliss dans les procds classiques de fabrication microlectronique. Lactionnement pizo-lectrique : il sappuie sur les proprits mcaniques et lectroniques de certains matriaux qui, sous laction dun potentiel lectrique, se dforme mcaniquement. Ici aussi, il est fait appel des matriaux spcifiques non prsents dans les techniques de fabrication classiques. Lactionnement lectrostatique : il sagit de la mise en mouvement dune pice mobile grce lapplication dune diffrence de potentiel entre cette pice et une lectrode fixe. Cette diffrence de potentiel gnre des forces lectrostatiques qui tendent rapprocher les deux parties de lactionneur. Les dplacements peuvent tre verticaux mais aussi horizontaux. Lactionnement lectrothermique : il est bas sur la diffrence de dilatation thermique de deux matriaux ou dun mme matriau prsentant une gomtrie variable. Les dplacements peuvent, ici aussi, tre verticaux ou horizontaux. A partir de cet inventaire, seuls les deux derniers types dactionnement peuvent tre envisags dans cette thse. En effet, les deux autres actionnements font appel des matriaux non disponibles dans les technologies auxquelles nous avons eu accs. Nous allons donc dtailler ces deux types dactionnement dans la suite de cette partie.

tel-00011349, version 1 - 11 Jan 2006

12

2. Lactionnement lectrostatique
Ce type dactionnement est utilis dans les dispositifs MEMS tels quinterrupteurs, rsonateurs, miroirs, Ce paragraphe a pour but de donner les phnomnes mis en jeu dans cet actionnement ainsi que quelques exemples de structures ralises et mettant en uvre cet actionnement.

2.1. Phnomnes physiques


Une structure mettant en uvre un actionnement lectrostatique est compose de deux lectrodes, une fixe et une mobile. En appliquant un champ lectrique entre ces deux lectrodes (par le biais dune diffrence de potentiel), llectrode mobile est attire vers llectrode fixe. La zone de dplacement stable est limite car il existe un seuil appel tension de seuil partir duquel llectrode mobile vient se coller brutalement sur llectrode fixe. Cette limite peut tre une cause de dfaillance, comme dans les miroirs lectrostatiques, mais aussi un phnomne dsir, comme dans les interrupteurs. Enfin, lorsque lon na pas dpass la tension de seuil et lorsque la tension dactionnement est coupe, llectrode mobile retourne sa position initiale par la seule force de sa rigidit mcanique. Pour illustrer lexistence de la tension de seuil , prenons lexemple dun miroir lectrostatique.

tel-00011349, version 1 - 11 Jan 2006

barres de torsion

miroir

g0

V V

lectrodes
Figure 9 : Schma de principe dun actionneur lectrostatique. Lorsque les lectrodes sont polarises, llectrode mobile subit lattraction lectrostatique due au potentiel appliqu, Fe , et une force de rappel, Fm , due au ressort maintenant llectrode mobile suspendue. La force lectrostatique exerce sur larmature mobile est directement lie la quantit de charge, Q , prsente dans les armatures par lexpression Fe =

1 QE o E est le champ 2

13

lectrostatique rsultant de la diffrence de potentiel. En remplaant Q et E respectivement par

0S
g0

V (daprs lexpression des charges dans un condensateur polaris) et

V (daprs le thorme g0

de Gauss), on obtient alors lexpression de la force lectrostatique :

Fe =

2 1 0 SV 2 g02

(1)

o 0 est la permittivit du vide (8.854.10-12 F.m-1). Le dilectrique tant de lair, nous avons

r =1. S est la surface en regard commune aux deux lectrodes. V est la diffrence de potentiel
applique entre les armatures et g 0 lpaisseur du dilectrique. La force mcanique de rappel dpend de la raideur K de la structure. Lexpression de cette

tel-00011349, version 1 - 11 Jan 2006

force est alors :

Fm = Kz

(2)

o z est le dplacement de la plaque mobile vers llectrode. Il faut remarquer que si la plaque mobile est suspendue par le biais de 2 (et respectivement 4) ressorts, lexpression de cette force de rappel sera alors Fm = 2 Kz (et respectivement Fm = 4 Kz ). A partir de lexpression de ces deux forces, la tension de seuil pour laquelle la membrane est brutalement attire vers llectrode peut tre dtermine. Pour cela, il faut considrer lquilibre de la structure cest--dire lorsque les deux forces se compensent.

Fe = Fm V =

4 Kz (g 0 z ) 0S

(3)

La Figure 10 retrace le dplacement en fonction du potentiel lectrique appliqu pour un miroir suspendu par 2 ressorts de 10 m de largeur, 50 m de longueur et 2m dpaisseur au dessus dune couche dair de 2 m dpaisseur et ayant une surface commune avec llectrode dactionnement de 1500 m2.

14

40,00

35,00

30,00

Tension de seuil pour z = g0/3

Tension applique en V

25,00

20,00

15,00

10,00

5,00

z = g0
0,00 0,00 0,20 0,40 0,60 0,80 1,00 1,20 1,40 1,60 1,80 2,00 2,20

Dflection en m

Figure 10 : Dflection de la membrane en fonction de la tension applique. La tension de seuil du systme correspond au point dinflexion de la courbe qui correspond la valeur z =

tel-00011349, version 1 - 11 Jan 2006

g0 et qui a donc pour expression : 3

Vseuil =

8 Kg 0 27 0 S

(4)

2.2. Exemples de structures


Aprs avoir prsent les phnomnes physiques intervenant dans un miroir actionnement lectrostatique, nous allons prsent donner des exemples de ralisation de ces structures mettant en uvre ce type dactionnement.
2.2.1. Les miroirs lectrostatiques

Nous commenons par donner un exemple de ralisation des miroirs lectrostatiques :

Figure 11 : Exemples de ralisation de miroirs lectrostatiques pivotant double ( gauche) ou simple ( droite)
inclinaison.

15

Dans le premier cas de figure, la plaque du miroir peut pivoter de deux manires autour de ses bras de torsion suivant que lune ou lautre des lectrodes fixes prsentent sur le substrat est active. On peut alors obtenir une double inclinaison. Dans le second exemple, une seule lectrode fixe est prsente directement sous la plaque. Dans cette configuration, la plaque a une seule inclinaison possible. Ce type de structure prsente des inconvnients au niveau des tests. En effet, les mouvements tant perpendiculaire au substrat, ils sont difficilement observables sans avoir recours un outillage lourd [Liotard2005]. De plus, les mcanismes de dfaillance apparaissant dans ce type de structures sont complexes et multiples.
2.2.2. Les actionneurs lectrostatiques

Sur le mme principe que les miroirs lectrostatiques, il est possible de fabriquer des actionneurs lectrostatiques :

tel-00011349, version 1 - 11 Jan 2006

Figure 12 : Exemple de ralisation dun actionneur lectrostatique. Cet actionneur est constitu dun peigne central mobile reli la pice mouvoir et de deux peignes fixes prsents de part et dautre du peigne mobile. En utilisant le mme principe que pour le miroir lectrostatique, lapplication dune diffrence de potentiel entre les peignes permet de dplacer le peigne mobile. Lobservation du dplacement de cet actionneur, mme sil nest pas perpendiculaire au substrat comme ctait le cas pour le miroir lectrostatique, est rendue difficile par les faibles amplitudes obtenues. De plus, ce type de structures prend beaucoup de place sur un wafer.
2.2.3. Les moteurs lectrostatiques

Lactionnement lectrostatique est aussi utilis pour faire des moteurs :

16

Figure 13 : Exemple de ralisation de moteurs lectrostatiques. Deux types de moteurs lectrostatiques ont t envisags. A gauche, il sagit dun moteur appel 12/8 car le rotor est en forme dtoile (avec 8 branches) et il est entour de 12 lectrodes de stator. En mettant le rotor la masse et en venant activer successivement les diffrentes lectrodes de

tel-00011349, version 1 - 11 Jan 2006

stator, le rotor est mis en mouvement de rotation en venant saligner successivement devant les lectrodes de stator actives. Le deuxime type de moteur porte le nom wobble . Le rotor est sous la forme dun disque plein. Lactionnement se droule de la mme manire que pour les moteurs 12/8 mais au lieu dobtenir une rotation parfaite autour dun axe fixe, le rotor vient entrer en contact avec le verrou central. Cest donc le point de contact entre le rotor et le verrou qui a un dplacement de rotation. Le rotor, en lui-mme, a un mouvement hlicodal. Ce type de structure actionnement lectrostatique prsente linconvnient, en plus des mcanismes trs complexes de dfaillance, davoir un fonctionnement non reproductible et trs dpendant des conditions de test.

2.3. Conclusion
A travers la description de ces structures base dactionnement lectrostatique, nous avons pu mettre en vidence quil est difficile denvisager des tudes de fiabilit sur de telles structures. En effet, elles mettent en jeu des mcanismes de dfaillance trs complexes et nombreux. De plus, les tests de fonctionnement de ces structures qui sont ncessaires pour pouvoir observer les dfaillances, sont trs contraignants soit par les difficults observer le mouvement (car perpendiculaire au substrat ou bien de trop faible amplitude) soit par la dpendance vis--vis des conditions de test (cas des moteurs). Nous allons donc prsenter un autre type dactionnement dans la prochaine partie.

3. Lactionnement lectrothermique
Lactionnement lectrothermique a attir tous les concepteurs de microsystmes au fur et mesure que les limitations des actionneurs lectrostatiques ont t rencontres. En effet, les

17

actionneurs lectrothermiques prsentent lintrt de dvelopper un dplacement et une force plus importants que les actionneurs lectrostatiques tout en prenant moins de place sur les wafers. De plus, les actionneurs lectrothermiques en polysilicium peuvent fonctionner grce des rgimes de courant ou tension compatibles avec les circuits lectroniques CMOS intgrs classiques. Tous ces avantages permettent une utilisation des actionneurs lectrothermiques dans des micro-pinces ou des moteurs pas pas [Comtois1995] .

3.1. Phnomnes physiques


Pour mettre en uvre lactionnement lectrothermique dans les microsystmes, deux principes ont t utiliss. Le premier peut se schmatiser ainsi :

r Ftherm
tel-00011349, version 1 - 11 Jan 2006
L1 L2

T0

T0 + T

Figure 14 : Schma de principe (vue transversale) dun bilame thermique. Le bilame est compos de deux matriaux diffrents accols. Ayant des coefficients dexpansion thermique diffrents, les deux niveaux ne se dilatent pas de la mme longueur ce qui entrane une courbure de la structure. Le fait de superposer deux matriaux diffrents permet daugmenter le dplacement vertical obtenu par rapport llongation obtenue pour un seul de ces matriaux. Alors que le premier principe prend appui sur des coefficients dexpansion thermique diffrents, le second utilise des diffrences de gomtrie dans un mme niveau structurel pour gnrer un mouvement comme cela est illustr ci-dessous.

I w1

w2

Figure 15 : Schma de principe (vue de dessus) dun actionneur thermique bimorphe. 18

Avec ce second type de structure, on obtient un dplacement parallle au substrat. La diffrence de largeur entre les deux bras de lactionneur entrane une diffrence de densit de courant lintrieur des deux bras lorsquune polarisation en courant est applique. Cette diffrence se traduit par une longation diffrente pour les deux bras entranant une courbure de la structure (selon le sens indiqu par la flche dans la Figure 15). Aprs avoir prsent les deux principes utiliss dans lactionnement lectrothermique, nous allons nous attarder sur le phnomne qui leur est commun, savoir la dilatation thermique dun matriau. Lorsque lon soumet un matriau un chauffement, il subit une dilatation thermique non contrainte caractrise par le coefficient dexpansion thermique dfinit par :

l = lkT

(5)

o l et l sont la longueur initiale et la variation de longueur dun barreau de matriau, k est

tel-00011349, version 1 - 11 Jan 2006

le coefficient dexpansion thermique et T est la variation de temprature impose au barreau. Dans ce cas, lexpansion thermique est lastique. Par contre, lorsque lexpansion thermique est contrainte, toute expansion de la poutre est bloque soit par des ancrages soit par des liaisons avec dautres pices volumineuses. Lexpansion thermique contrainte peut alors tre assimile une force de compression lie lexpansion l que la barre subirait en non-contraint. La force exerce est alors (en sappuyant sur la loi de Hooke) :

F = lkTAE = AEl
matriau et E est le module dlasticit (i.e. module de Young) du matriau considr.

(6)

o F est la force thermique due lexpansion du matriau, A est la surface du barreau de

Dans la ralit, nous obtenons une combinaison entre ces expansions contrainte et noncontrainte. Lnergie thermique cre par llvation de temprature permet de gnrer un travail utile se traduisant la fois par un dplacement (cas de lexpansion thermique non contrainte) et une force (cas de lexpansion thermique contrainte).

3.2. Exemples de structures


Aprs avoir prsent les phnomnes physiques lis aux effets thermiques, nous allons prsent donner des exemples de ralisation de ces structures mettant en uvre ce type dactionnement.
3.2.1. Les actionneurs verticaux

Nous allons dcrire le fonctionnement de deux types dactionneurs verticaux. Le premier qui est un classique, peut tre illustr par la figure suivante :

19

via

via

bras chaud bras chaud bras froid ressort ressort distance gap

ancrage ancrage

Figure 16 : Exemple dactionneurs thermiques verticaux classiques. Dans les actionneurs verticaux classiques, les bras chaud et froid sont spars par une couche

tel-00011349, version 1 - 11 Jan 2006

dair. Pour mieux visualiser cette sparation, une coupure a t ralise dans la reprsentation cidessus [Comtois1997]. Le bras froid large est donc au niveau infrieur et le bras chaud fin est au niveau suprieur. Sur une des extrmits, les bras sont connects ensemble par le biais dun via alors qu lautre extrmit, ils sont ancrs sparment au substrat. En crant une boucle de courant, une gnration dnergie thermique aura lieu. A cause de la diffrence de gomtrie des deux bras, le bras chaud se dilatera davantage et viendra pousser lactionneur (vers le bas dans lexemple donn cidessus). Cependant ce type dactionneur prsente des limitations. On peut citer par exemple le fait que le courant passant dans le bras froid ne participe pas au mouvement. Cest pour trouver une solution cette limitation quune autre version dactionneur thermique vertical a t propose [Yan2002] :

ancrage 3 ancrage 1 ressort ancrage 4 niveau haut niveau bas

via

ancrage 2

Figure 17 : Nouvelle version dactionneur thermique vertical. Dans cette nouvelle version, il faut remarquer que les deux bras sont en forme de U avec chaque extrmit du U relie un ancrage. Ainsi, on applique un courant seulement un des deux bras pour gnrer lnergie thermique et entraner le mouvement. En effet, si on applique le courant entre 20

les ancrages 1 et 2 de la figure ci-dessus, cest le bras du dessus qui va se dilater sous leffet de lnergie thermique et qui va entraner un mouvement de lactionneur vers le bas. Par contre, si on applique le courant entre les deux autres ancrages, cest le bras du dessous qui stirera et qui courbera lactionneur vers le haut. Cependant, ce type dactionneur prsente un inconvnient au niveau de lobservation du dplacement. En effet, tout comme pour le miroir lectrostatique qui a t vu prcdemment, le mouvement est perpendiculaire au substrat ce qui demande des quipements lourds pour pouvoir raliser des tests de fonctionnement ou de vieillissement de ce type de structures. Nous nous sommes donc intresss aux actionneurs lectrothermiques horizontaux.
3.2.2. Les actionneurs en forme de U

Ces actionneurs, comme leur nom lindique, sont en forme de U et cest en se basant sur une

tel-00011349, version 1 - 11 Jan 2006

diffrence de gomtrie que lon cre un dsquilibre dans les dilatations thermiques entranant un mouvement de lensemble de la structure. Le premier exemple dactionneur de ce genre a t utilis pour dvelopper les phnomnes physiques lis lactionnement lectrothermique. Il est donc reprsent dans la Figure 15 et est constitu dun bras froid large et dun bras chaud plus fin. La circulation dun courant dans cette structure entrane un chauffement par effet Joule. Mais comme les bras nont pas la mme gomtrie, on cre une diffrence de densit de courant et par l-mme une diffrence dexpansion thermique. Ce dsquilibre entrane le mouvement reprsent par la flche. Il existe galement des actionneurs du mme type mais comprenant deux bras chauds [Yan2002]:
bras chaud extrieur ressort bossage bras froid bras chaud intrieur

ancrage

Figure 18 : Schma dun actionneur lectrothermique en forme de U avec deux bras chauds. Cet actionneur utilise le mme principe que la nouvelle gnration des actionneurs verticaux. En effet, pour viter dappliquer un courant dans le bras froid alors quil ne participe pas au mouvement, cet actionneur prsente un bras chaud sous la forme dun U dont les extrmits sont ancres sparment. Cest au niveau de la base du U que le bras chaud est reli au bras froid. Ainsi, en appliquant un courant entre les deux ancrages du bras chaud, celui-ci se dilate et vient pousser le bras froid pour crer un mouvement vers le bas dans la figure prcdente.

21

Cependant cette nouvelle configuration prsente un inconvnient au niveau des mcanismes de dfaillance. En effet, les deux bras chauds ont tendance se coller mutuellement ce qui entrane un dfaut supplmentaire par rapport la forme classique de lactionneur en forme de U.
3.2.3. Les actionneurs en chevron

On peut enfin citer un dernier type dactionneur lectrothermique, les actionneurs en chevron [Lai2004] [Hickey2003] :
Bras chaud

Bras jonction

tel-00011349, version 1 - 11 Jan 2006

Figure 19 : Vue schmatique dun actionneur lectrothermique en chevron. Cet actionneur est constitu de deux bras chauds inclins et relis par un bras de jonction. Les extrmits libres de ces bras sont ancres au substrat de faon indpendante. Cest en appliquant un courant entre ces deux ancrages que lon cre un apport dnergie thermique par effet Joule. Les bras chauds se dilatent pour venir pousser de faon symtrique sur la partie centrale de lactionneur (le bras de jonction). Cette partie subit alors un mouvement vers le haut sur la figure prcdente. Avec ce type dactionneur, tout le courant est utile et participe au mouvement. Mais les amplitudes obtenues sont trs faibles par rapport ce que lon peut obtenir avec un actionneur en forme de U.

4. Conclusion
A partir de la prsentation des actionnements lectrostatiques et lectrothermiques qui sont accessibles par le biais des technologies mises notre disposition, nous pouvons tablir les remarques suivantes : - Lactionnement lectrostatique met en jeu des phnomnes complexes au niveau des mcanismes de dfaillance et est utilis dans des microsystmes dont les tests ne sont pas aiss reproduire lidentique. Tous ces inconvnients ont fait que nous nous sommes intresss un autre type dactionnement. - Leffet thermique permet de crer des structures dont les tests peuvent tre trs facilement mis en place. En effet, les mouvements obtenus sont parallles au substrat et un simple microscope optique permet de lobserver. Nous avions donc le choix entre les actionneurs en forme de U ( simple 22

ou double bras chaud) et les actionneurs en chevron. Les actionneurs lectrothermiques en forme de U double bras chaud ont un inconvnient qui est le dfaut de collage entre les deux bras chauds. Quant aux actionneurs en chevron, lamplitude des dplacements obtenus est trs faible ce qui reprsente une limitation dans lexploitation de ce type de structure. - Nous avons donc choisi de baser les travaux de cette thse sur lactionneur lectrothermique en forme de U bras chaud simple. En effet, le matriel ncessaire ces tests est classique et les amplitudes de dplacement sont suffisamment significatives pour tre mesures. - Enfin, le principe mme dinjecter de lnergie thermique favorise le dveloppement de dfauts donc potentiellement lapparition de phnomnes de vieillissement ou de fatigue au cours de lutilisation.

tel-00011349, version 1 - 11 Jan 2006

23

tel-00011349, version 1 - 11 Jan 2006

24

Chapitre 2

Modlisation des actionneurs lectrothermiques

Aprs avoir prsent quelques gnralits sur les microsystmes et leur fabrication, nous allons aborder dans ce chapitre la modlisation des actionneurs lectrothermiques. Cette tude pourra tre utilise au niveau de la conception de ces structures. Deux types dactionneurs seront abords plus particulirement. Dans un premier temps, il sagira de modliser le comportement de lactionneur lectrothermique en forme de U qui est lactionneur le plus couramment rencontr. Nous pourrons ensuite profiter de cette tude pour ladapter au cas de lactionneur en chevron qui a t intgr dans les vhicules de test car il offre des caractristiques de fonctionnement diffrentes.

tel-00011349, version 1 - 11 Jan 2006

Pour chacune de ces structures, nous traiterons dans lordre chronologique le principe de fonctionnement de la structure, les modlisations aux lments finis, la modlisation analytique sous Matlab, un modle ralis avec le langage VHDL-AMS et enfin une conclusion contenant des courbes de corrlation entre ces diffrentes simulations et les tests.

1 Les techniques de modlisation des microsystmes


La modlisation des microsystmes est un problme important dans leur conception et tout particulirement dans la mthodologie que nous cherchons mettre en place travers ces travaux. En effet, tant donn que le but de notre mthodologie est la mise en place dun prototype virtuel, tout est bas sur la modlisation [Muratet2003] [Muratet2004]. Cependant, il nexiste pas encore lheure actuelle doutil de modlisation prenant en compte le caractre physique et multidisciplinaire des microsystmes. La modlisation de base se fait donc avec des outils de type analyse en lments finis issus de la mcanique. Cela permet de modliser les microsystmes au niveau dispositif. La modlisation peut aussi se faire avec les outils de CAO de la microlectronique en utilisant les langages de description du matriel (VHDL-AMS) pour sadapter au caractre multidisciplinaire des microsystmes. A ce niveau de modlisation, on atteint un grand degr dabstraction dans les phnomnes physiques mis en jeu. Ces diffrentes faons daborder la modlisation des microsystmes vont tre dcrites dans ce paragraphe avant de passer leur application au cas des actionneurs lectrothermiques.

25

1. La modlisation aux lments finis


Dans le cadre de ces travaux de thse, loutil utilis pour faire de la modlisation aux lments finis est CoventorWare [Coventor2005] . Il sagit dun outil adaptant la mthode de modlisation aux lments finis aux microsystmes. En effet, les diffrentes tapes proposes par cet outil sont : Dfinition des masques de fabrication : cet outil prsente lintrt de pouvoir rcuprer les masques dans les fichiers gdsII. Ainsi, lorsque lon souhaite modliser des structures dj fabriques, les masques utiliss pour la fabrication peuvent tre directement intgrs dans cet outil. Le logiciel fournit galement un diteur de layout pour dessiner les masques. Paramtrage de la technologie : il sagit dans cette tape de dcrire les tapes du procd technologique qui peut contenir des dpts, des gravures et des librations. Les matriaux utiliss dans les procds dcrits peuvent tre issus dune base de donnes fournie par le logiciel, ou bien configurs par lutilisateur en fournissant les paramtres technologiques tels que le module de Young, le coefficient dexpansion thermique, Gnration du modle 3D : cette tape est entirement gre par le logiciel. Elle permet partir des descriptions des masques en 2D et de la description du procd qui fournit la troisime dimension, dobtenir une reprsentation en trois dimensions du systme. Il faut remarquer que dans les outils classiques de modlisation aux lments finis tels que ANSYS ou Abaqus, la description du systme doit tre faite par lutilisateur et directement en 3 dimensions. Maillage du domaine : Le logiciel propose diffrentes formes paramtrables pour le domaine lmentaire souvent lies au solveur choisi ultrieurement. Il est galement possible dintgrer un maillage ralis avec un autre outil. Dfinition des conditions aux limites : Le logiciel permet de visualiser en 3D le domaine maill et daffecter des conditions aux limites certaines zones choisies par lutilisateur. Cette tape est ncessaire avant de passer ltape de modlisation proprement dite. Choix du solveur et modlisation : une fois que le domaine est maill et que les conditions aux limites sont dfinies, il sagit de choisir le solveur adquat en fonction du type de fonctionnement de la structure, de le paramtrer et de lancer la simulation. Visualisation des rsultats : elle se fait en 3D tout comme la visualisation du modle 3D. Il est possible alors dextraire des cartographies, des courbes ou des films. La modlisation aux lments finis permet donc dobtenir une visualisation en 3D du comportement de la structure. Mais le problme principal de cette mthode de modlisation est le temps de calcul. En effet, pour certaines structures complexes, plusieurs heures de simulation sont ncessaires. Il nest donc pas possible denvisager des tudes paramtriques avec ce type de modlisation.

tel-00011349, version 1 - 11 Jan 2006

26

Pour donner une ide de linfluence du nombre de nuds considrs pour faire une modlisation aux lments finis, nous avons trac la variation du dplacement et de la dure de la simulation en fonction du nombre de nuds (cf. Figure 20).
dure simulation 500 dure de la simulation en s 450 400 350 300 250 200 150 100 50 0 2000 4000 6000 nombre de noeuds 8000 dplacement obtenu 1,8 1,6 dplacement en m 1,4 1,2 1 0,8 0,6 0,4 0,2 0 10000

tel-00011349, version 1 - 11 Jan 2006

Figure 20 : Variation du temps de calcul et du dplacement en fonction du nombre de nuds utiliss dans la
modlisation aux lments finis.

Ces courbes permettent de mettre en vidence que, plus le nombre de nuds est important, plus la dure de la simulation est longue. Par contre, il faut remarquer quau-del de 6 000 nuds, on atteint une saturation dans la variation du dplacement. On peut donc voir quil est inutile de prendre au-del de 6 000 nuds car cela ne nous permettra pas dobtenir une meilleure prcision dans le rsultat obtenu. Il faut donc compter sur environ 300 secondes pour obtenir les rsultats dune simulation mene aux lments finis. Cela rend difficile denvisager des tudes paramtriques sur un tel modle.

2. La modlisation analytique
Pour rsoudre ce problme de temps de calcul trs long, des modlisations dites analytiques ont t aussi menes. Il sagit ici, moyennant quelques simplifications, dutiliser les quations mathmatiques reprsentant le comportement des systmes dans les domaines nergies physiques. On peut par exemple citer lquation de la chaleur pour le comportement lectrothermique, ou encore la loi de Hooke pour le ct mcanique. Pour rsoudre ces quations (qui sont galement utilises dans les modlisations aux lments finis), des outils de rsolution mathmatique, tels que Matlab, sont utiliss. Par rapport aux modlisations aux lments finis, ce nouveau type de modlisation permet lobtention beaucoup plus rapide des rsultats.

27

Les deux types de modlisation qui viennent dtre prsents posent deux problmes. Le premier vient du fait que les modles crs ne sont pas portables, i.e. ils sont lis un outil commercialis. Le second problme est quil nest pas possible de prendre en compte linfluence de llectronique de commande dans le fonctionnement du systme. Or dans le domaine de ltude de la fiabilit des systmes, llectronique peut reprsenter une des origines importantes des dfaillances dun systme.

3. La modlisation par quivalent lectrique


Pour rsoudre ces deux problmes, on a eu tendance utiliser des logiciels de simulation des circuits lectriques. Dans un premier temps, il sagissait de trouver un circuit lectrique reprsentant le comportement physique dsir. Par exemple, on pouvait utiliser une rsistance pour modliser une conduction thermique, ou encore utiliser une capacit pour leffet damortissement. Dans ce cas, tous les logiciels de simulation des circuits lectriques, comme Spice ou Saber, permettaient ce type de

tel-00011349, version 1 - 11 Jan 2006

modlisation. Mais les modles restaient lis un outil commercialis. Cest pour pallier ce problme que le langage VHDL-AMS a t ensuite utilis. En effet, cest un langage portable qui permet non seulement de simuler des circuits lectriques mais aussi de prendre en compte les phnomnes physiques, par exemple linfluence de la temprature sur le comportement dune rsistance.

4. Conclusion
Les moyens de modliser les microsystmes sont donc varis mais rpondent chacun un but prcis. Toutes ces techniques ont t utilises avant de trouver celle qui correspondait au mieux notre besoin, savoir rapidit (pour envisager des tudes paramtriques), compatibilit avec llectronique (pour prendre en compte le ct lectronique du systme et ncessaire son actionnement), possibilit de prendre en compte la fiabilit et dintgrer le modle dans un autre modle plus complexe. Cest le langage VHDL-AMS qui rpond toutes ces exigences. Dans la prochaine partie, nous aborderons lapplication de ces diffrentes techniques de modlisation deux types dactionneur lectrothermique : les actionneurs lectrothermiques en forme de U et en chevron.

2 Lactionneur lectrothermique en forme de U


1 Principe de fonctionnement de lactionneur
Un diagramme de lactionneur thermique est donn dans la Figure 21. Cette structure, en forme de U, contient un bras fin, dit bras chaud , et un bras large, dit bras froid . Une dflexion est gnre grce un chauffage asymtrique du bras chaud et du bras froid. Le courant passe travers

28

les ancrages et la densit de courant la plus leve dans le bras chaud y cre un chauffement et une expansion thermique plus importants que dans le bras froid. Les bras tant joints leur extrmit libre, lactionneur est contraint un mouvement de rotation autour du bras froid (dans la Figure 21, le mouvement est vers le bas).
Bras de flexion bras chaud bras froid Bras de jonction

ancrages

lh g wh wc lf lc

Figure 21 : Vue de dessus schmatique de lactionneur lectrothermique en forme de U.

tel-00011349, version 1 - 11 Jan 2006

La portion o les deux bras ont la mme largeur permet dobtenir un effet ressort qui permet un retour de la structure sa position initiale en fin dactionnement. Les paramtres caractristiques de la structure sont : La longueur des bras : lh , l j , lc et l f qui sont respectivement les longueurs du bras chaud, de jonction, froid et de flexion. La largeur des bras : wh , w j , wc et w f qui sont respectivement les largeurs des bras : chaud, de jonction, froid et de flexion. Lespacement entre les deux bras : g , correspondant l j . Lpaisseur de lactionneur, h , qui est lpaisseur du niveau structurel dans lequel est fabriqu lactionneur. Ce paramtre nest donc pas reprsent dans la Figure 21 puisquil est perpendiculaire la feuille ! Les phnomnes mis en jeu dans le fonctionnement de cette structure sont de deux natures : thermique et mcanique. Leurs modlisations ont t menes avec la mthode des lments finis, une mthode analytique et enfin avec lutilisation du langage VHDL-AMS. Elles font lobjet des parties suivantes.

2 Modlisation aux lments finis


Cette technique de modlisation ayant dj t dcrite, nous allons dans ce paragraphe prsenter la mise en place du modle dun actionneur lectrothermique en forme de U, les rsultats obtenus pour terminer sur une exploitation de ce modle.

29

2.1 Construction du modle


Dans un premier temps, il a fallu dfinir les masques de fabrication (cf. Figure 22). On peut y reprer les bras chaud, de jonction, froid et de flexion.

tel-00011349, version 1 - 11 Jan 2006

Figure 22 : Layout dun actionneur lectrothermique en forme de U sous CoventorWare. Aprs avoir dfini les masques de fabrication, il faut dcrire la technologie de fabrication. Les vhicules de test ont t raliss avec le procd PolyMUMPs, la Figure 23 donne par consquent la description de ce procd utilise dans le logiciel CoventorWare.

Figure 23 : Description du procd PolyMUMPs sous CoventorWare. Les proprits technologiques des matriaux mentionns dans cette description sont contenues dans une base de donnes. Ne pouvant pas mesurer ces paramtres, nous avons tabli le Tableau 1 partir des donnes fournies par le constructeur (en rfrence aux runs 45, 57 et 63 utiliss dans le cadre de cette thse) et par la littrature.

30

Paramtres
Module de Young (en GPa), E . Coefficient de Poisson, . Stress interne (en MPa) Poly1 (compression),

Valeur
158 10 0,22 0,1

Rfrence
[polyMUMPs], [Rigo2004] [polyMUMPs], [Chen2002] [polyMUMPs]

1 . 2 .

11,235 (run57) 7 (run63) 9,834 (run57) 15 (run63)

Poly2 (compression),

Rsistivit (en .m) Poly1,

[polyMUMPs]
2,07 10 (run57) 2,41 10-5 (run63) 3,19 10-5 (run57) 4,58 10-5 (run63) 2,8 0,2
-5

1 . 2 .

Poly2,

tel-00011349, version 1 - 11 Jan 2006

Coefficient dExpansion Thermique (en 10-6 K-1),

[Chen2002], [Chiao2000] [Chen2002], [Huang1999]

[Lai2004], [Chiao2000],

TCE .
Conductivit thermique du polysilicium (en W.m .K ),
-1 -1

32 2

kp .
Conductivit thermique de lair (en W. m-1.K-1), k v . Conductivit thermique du Si3N4 (en W. m-1.K-1), k n . 0,026 2,25

[Huang1999] [Huang1999]

Tableau 1 : Proprits technologiques du polysilicium. Ce tableau permet de mettre en avant le problme de lincertitude sur la connaissance des paramtres technologiques. Il sera donc intressant dans la suite de faire une tude de linfluence de ces incertitudes sur les rsultats des simulations. Le modle en trois dimensions peut alors tre ralis par le logiciel partir des masques complts par la description du procd. La Figure 24 permet de visualiser le modle 3D de la structure dont les masques taient donns dans la Figure 22.

31

Figure 24 : Visualisation du modle 3D gnr par CoventorWare. Le maillage, qui est la prochaine tape, permet de diviser la structure en petits lments dont la forme est choisie pour obtenir lexemple donn dans la Figure 25.

tel-00011349, version 1 - 11 Jan 2006

Figure 25 : Maillage dun actionneur lectrothermique en forme de U sous CoventorWare. Aprs avoir maille la structure, il faut dfinir les conditions aux limites. Dans le cas prsent, il sagit dimposer : un ancrage en bout du bras chaud et du bras de flexion de lactionneur ; une temprature ambiante ces deux ancrages ; lapplication dun courant travers la structure ; enfin, des zones dchange par convection avec lextrieur.

2.2 Les rsultats de la modlisation


En sappuyant sur ces conditions aux limites et en utilisant les solveurs adquats (ici MemETherm et MemMech pour, respectivement, le comportement lectrothermique et thermomcanique), la modlisation du comportement de la structure peut tre mene avec les paramtres gomtriques donns dans le Tableau 2. 32

Paramtres Longueur du bras chaud, l h Longueur du bras froid, l c Longueur du ressort, l f Largeur du bras chaud, wh Largeur du bras froid, wc Largeur du ressort, w f Largeur du bras de jonction, w j Espacement entre les deux bras, g

Valeurs 300 m 240 m 60 m 3 m 20 m 3 m 3 m 3 m

Tableau 2 : Valeurs des paramtres gomtriques pour lexemple de modlisation aux lments finis. Les rsultats obtenus sont alors, par exemple, la rpartition de la temprature dans la structure

tel-00011349, version 1 - 11 Jan 2006

(cf. Figure 26) ou encore la dformation de la structure (cf. Figure 27).

Figure 26 : Rpartition de la temprature dun actionneur en forme de U et variation de la temprature


maximale dans lactionneur en fonction du courant appliqu.

Figure 27 : Dformation dun actionneur et variation du dplacement maximal en fonction du courant appliqu.

33

2.3 Exploitation du modle


Les outils de modlisation aux lments finis, tel que CoventorWare qui a t utilis ici, permettent donc dobtenir des cartographies en trois dimensions de plusieurs paramtres comme la temprature, le stress, la dformation. Nous allons utiliser ces modlisations aux lments finis pour tudier linfluence des paramtres technologiques sur le dplacement de lactionneur. Cela permettra par consquent de simplifier le modle analytique en rpondant aux questions faut-il prendre en compte le stress interne des couches de polysilicium ? et faut-il prendre en compte le coefficient de Poisson ? . Pour commencer, nous avons tudi linfluence du stress interne dans les couches de polysilicium en multipliant les valeurs du stress, donnes par le fondeur, par un coefficient multiplicatif. Ainsi, en prenant des coefficients entre 0 et 3, on obtient la courbe de la Figure 28.

tel-00011349, version 1 - 11 Jan 2006

1,420 dplacement en m 1,417 1,414 1,411 1,408 1,405 1,402 1,399 0 0,5 1 1,5 2 2,5 3 facteur multiplicatif des stress internes

Figure 28 : Etude avec CoventorWare de linfluence du stress interne dans les couches de polysilicium sur le
dplacement dun actionneur lectrothermique en forme de U.

Cette courbe permet de mettre en vidence la possibilit de ngliger linfluence du stress interne dans les couches de polysilicium sur le dplacement de lactionneur. En effet, lorsque lon passe de la valeur 0 (correspondant la non prise en compte du stress interne) la valeur 1 (correspondant aux valeurs du stress fournies par le fondeur), le changement dans le dplacement obtenu par simulation aux lments finis est infrieur 0.01m. Ce rsultat permettra donc de simplifier le modle analytique en ngligeant linfluence du stress interne dans les couches de polysilicium. De la mme faon, nous avons valu linfluence du coefficient de Poisson sur le dplacement de lactionneur. Pour cela, nous avons men des simulations en utilisant toutes les valeurs que peut prendre ce coefficient ( savoir entre 0 et 0,5) pour aboutir la courbe donne dans la Figure 29.

34

1,45 1,44 1,43 1,42 1,41 1,40 1,39 1,38 1,37 1,36 1,35 0 0,1

dplacement en m

0,039 m 0,22 0,1


0,2 0,3 0,4 0,5 0,6

coefficient de Poisson

Figure 29 : Etude de linfluence du coefficient de Poisson sur le dplacement dun actionneur lectrothermique
en forme de U.

Cette courbe permet de voir que sur toute la gamme des valeurs possibles pour ce paramtre, le dplacement ne varie que de 0,088 m ce qui est totalement ngligeable. Cela permettra donc de

tel-00011349, version 1 - 11 Jan 2006

simplifier le modle analytique en ne tenant pas compte du coefficient de Poisson. Nous avons donc vu que les modlisations aux lments finis permettent dobtenir les cartographies de la temprature ou la dformation de la structure. Cependant cette technique de modlisation est trs coteuse en temps de calcul. Cest un inconvnient pour raliser loptimisation dune structure. Nous avons donc envisag ensuite de raliser un modle analytique qui est prsent dans la prochaine partie.

3 Modlisation analytique de lactionneur


De part les phnomnes physiques mis en jeu dans un actionneur lectrothermique, sa modlisation se fait en deux tapes. La premire analyse est lectrothermique et permet, partir de la valeur du courant appliqu, de connatre la rpartition de la temprature dans lactionneur. Vient ensuite lanalyse thermomcanique qui permet de connatre la dflexion en bout dactionneur en fonction de lchauffement thermique dans la structure.

3.1. La modlisation lectrothermique


Nous allons aborder dans un premier temps les quations qui sont la base de cette tude lectrothermique pour terminer sur la prsentation des rsultats obtenus, savoir les profils de temprature dans lactionneur.
3.1.1. Les quations mathmatiques

La rponse lectrothermique des bras de lactionneur qui sont fabriqus dans une couche de polysilicium est simplifie par un problme monodimensionnel [Huang1999] puisque la longueur des ponts est grande devant leur section. Le systme est donc considr comme une succession de trois 35

ponts (le couple bras chaud + bras de jonction car ils ont les mmes caractristiques, le bras froid et le bras de flexion) comme cela peut tre vu dans la Figure 30.
x=0

(wh , h ) (wc , h )

x=lh

(wh , h )

x=lh+g+lc+lf

(w f , h)

x=lh+g+lc

x=lh+g

Figure 30 : Schma du systme simplifi en une dimension. Il existe 3 mcanismes dchange de chaleur : la conduction : transfert dnergie sous linfluence dune diffrence de temprature, dun point chaud vers un point froid, cf. Figure 31. La thorie de la conduction repose sur lhypothse de Fourier selon laquelle la densit du flux thermique est proportionnelle au gradient de temprature. Ainsi, le flux de chaleur transmis par conduction (en W) sexprime comme :

tel-00011349, version 1 - 11 Jan 2006

conduction = kS

T x

(7)

avec k la conductivit thermique du milieu (en W.m-1.C-1), S laire de la surface de passage du flux (en m2), T la temprature (en C) et x la variable despace dans la direction du flux (en m).

T1

T1 > T2

conduction = kS

T x

S
Figure 31 : Flux de chaleur transmis par conduction.

la convection : transfert de chaleur entre un solide et un fluide, cf. Figure 32. Lnergie est transmise par dplacement du fluide. Ce mcanisme est rgi par la loi de Newton ce qui permet dexprimer le flux de chaleur transmis par convection (en W) comme :

convection = hc S (T p T )

(8)

36

avec hc le coefficient de transfert de chaleur par convection (en W.m-2.C-1), T p la temprature la surface du solide (en C) et T la temprature du fluide (en C).

convection= hS(Tp T )

Fluide (T)

S (Tp)

Figure 32 : Flux de chaleur chang par convection. Dans notre cas, la convection a lieu vers le substrat travers une couche dair et une couche de

tel-00011349, version 1 - 11 Jan 2006

nitrure mais aussi vers le milieu ambiant dans lequel est plonge la structure. Du fait que lchange thermique reste en rgime laminaire, les pertes de chaleur par convection vers le substrat et vers le milieu ambiant seront assimiles des pertes par conduction travers une rsistance thermique RT selon lexpression :

convection = S

T RT

(9)

o T est la diffrence de temprature entre le solide et le fluide ambiant. et la radiation : transfert dnergie lectromagntique entre deux surfaces, cf. Figure 33. Le flux de chaleur chang par rayonnement sexprime (en W) comme :

radiation = p S T p 4 T 4

(10)

avec la constante de Stephan (5,67.10-8 W.m-2.K-4), p le facteur dmission de la surface (0,6 [Huang1999]), T p la temprature la surface du solide (en K) et T la temprature du fluide (en K).

radiation = p S (Tp 4 T 4 )
Milieu ambiant (T)

S (Tp)

Figure 33 : Flux de chaleur chang par rayonnement.

37

En accord avec une comparaison ralise entre lnergie perdue par radiation et lnergie cre par effet Joule dans les actionneurs lectrothermiques en forme de U [Huang1999], la dissipation de chaleur vers le milieu ambiant par radiation sera nglige dans cette tude. Aprs avoir examin les diffrents mcanismes dchange de chaleur, une portion de lactionneur de longueur x (dont on peut voir une coupe transversale dans la Figure 34) est prise en compte pour faire ltude des transferts thermiques. La couche de nitrure prsente dans ce diagramme est impose par le procd technologique pour garantir une isolation lectrique et thermique des structures (et donc de lactionneur) vis--vis du substrat.
couche structurelle de lactionneur milieu ambiant nitrure Si3N 4 x x+x tp tv tn

tel-00011349, version 1 - 11 Jan 2006

Substrat Si

Figure 34 : Diagramme de la section de lactionneur. La rsistivit du polysilicium, note , est considre ici comme une fonction de la temprature. Cette variation est suppose linaire avec un coefficient, , et telle que la rsistivit la temprature ambiante est (TS ) = 0 :

(T ) = 0 [1 + (T T S )]
o T est la temprature de la portion considre et TS la temprature du substrat.

(11)

En examinant les changes thermiques dans llment considr, cf. Figure 34, de largeur w , paisseur h et de longueur x , lquation de la chaleur peut tre tablie dans des conditions stationnaires. En effet, en tablissant lgalit entre les apports de chaleur (par effet Joule et par conduction) et les pertes (par conduction et par convection vers le substrat et le milieu ambiant), on obtient lquation aux drives partielles suivante :

T TS dT dT k p wh + J 2 whx = k p wh + FS xw RT dx x + x dx x

(12)

o k p est la conductivit thermique du polysilicium, J est la densit de courant, FS est le facteur de forme et RT est la rsistance thermique. Le facteur de forme, FS , permet de prendre en compte les

38

changes thermiques vers le milieu ambiant tout en considrant seulement la surface en vis--vis avec le substrat. Son expression est donne par [Lin1996] :

FS =

h 2t v + 1 + 1 w h

(13)

o h est lpaisseur de la couche dans laquelle est ralise lactionneur et w est la largeur du bras considr. La rsistance thermique, RT , reprsente les couches prsentes entre le substrat et lactionneur. Elle dpend donc des conductivits thermiques, k v et k n , et des paisseurs, t v et t n , des couches dair et de nitrure :

RT =

tv t + n kv kn

(14)

tel-00011349, version 1 - 11 Jan 2006

Cependant, en sappuyant sur les paramtres technologiques donns par le fondeur et prsents dans le Tableau 1, le terme

tn 0,6.10 6 prend la valeur = 2,67.10 7 W-1.m2.K alors que le terme kn 2,25

tv 2.10 6 2,75.10 6 5 -1 2 peut prendre les valeurs = 7,69.10 W .m .K ou = 1,058.10 5 W-1.m2.K 0,026 0,026 kv
suivant que le niveau structurel soit en poly1 ou en poly2. Ces rsultats permettent de conclure sur le fait que

tv t t >> n et que lon peut donc exprimer la rsistance RT comme v . Afin de valider ce kv kn kv

rsultat, nous prsenterons dans la partie consacre aux rsultats de simulation, les profils de temprature dans lactionneur en tenant compte ou non de cette simplification. Une quation diffrentielle du second ordre est alors obtenue en prenant la limite x 0 dans lquation (12) :

kp

d 2T dx 2

+ J 2 =

FS (T TS ) h RT

(15)

Le premier terme du membre de gauche de lquation (15) reprsente le taux net de conduction de chaleur dans llment par unit de volume. Le second terme du membre de gauche est le taux de gnration dnergie thermique par effet Joule dans llment par unit de volume. Enfin, le terme de droite reprsente le taux dnergie thermique perdue dans llment par unit de volume. En prenant en compte lquation (11) et en faisant un changement de variable dans lquation (15), on obtient :

39

d 2 ( x) m 2 ( x) = 0 2 dx
avec

(16)

( x) = T ( x) T
T = TS + J 2 0 k pm2

m2 =

FS J 2 0 k p hRT kp

La rsolution de lquation (16) permet de trouver la distribution de la temprature dans le bras chaud, le bras froid et le bras de flexion :

tel-00011349, version 1 - 11 Jan 2006

Th ( x) = TH + c1 e mh x + c 2 e mh x
Tc ( x) = TC + c3e mc x + c4 e mc x

(17) (18) (19)

T f ( x) = TF + c5 e

mf x

+ c6 e

m f x

o TH et m h sont respectivement identiques T et m except que w est remplac par wh ; TC et

mc sont respectivement identiques T et m except que w est remplac par wc ; wh et wc sont


les largeurs des bras chaud et froid, c j (avec j = 1 6) sont des constantes rechercher, TF = TH et

m f = m c puisque la largeur de la partie flexible est identique la largeur du bras chaud.


Il faut noter que le bras de jonction a t inclus dans le bras chaud tant donn que ces deux bras ont les mmes largeurs. Dans le cas o cette condition ne serait plus vrifie, il faudra ajouter une expression T j ( x) donnant le profil de temprature dans le bras de jonction. Il faudra alors dterminer non pas 6 mais 8 conditions dintgration. La temprature des plots dancrage est suppose identique la temprature du substrat, TS . En utilisant la continuit de la temprature et du taux de conduction thermique travers les jonctions entre le bras chaud, le bras froid et le bras de flexion, les constantes c j peuvent tre retrouves avec 6 quations simultanes reprsentes ici sous forme matricielle :

40

1 e mh ( l h + g ) e mh ( l h + g ) 0 0 0

1 e e mh ( l h + g ) 0 0 0
mh ( l h + g )

0 e e mc (lh + g )
mc ( lh + g )

0 e e mc (lh + g )
mc ( lh + g )

e mc (lh + g +lc ) e mc (lh + g +lc ) 0

e mc (lh + g +lc ) e mc (lh + g +lc ) 0

e mh (lh + g +lc ) e mh (lh + g +lc ) e mh (2lh + g c )

0 0 0

c1 TS TH c T T H 2 C c3 0 = e mh (lh + g +lc ) c 4 TH TC e mh (lh + g +lc ) c5 0 e mh ( 2 l h + g c ) TS TH c 6 0 0 0


(20)

o l h et l c sont respectivement la longueur du bras chaud et du bras froid, g est le gap entre le bras chaud et le bras froid, = wc mc wh mh . La rsolution de lquation (20) permet de connatre lexpression des constantes c j et par consquent celle de la distribution de la temprature le long des diffrents bras de lactionneur. Cela permet de dterminer Th , T j , Tc et T f qui sont respectivement la temprature

tel-00011349, version 1 - 11 Jan 2006

moyenne dans le bras chaud, le bras de jonction, le bras froid et la partie flexible :

c c 1 h Th = Th ( x)dx =TH + 1 e mhlh 1 2 e mhlh 1 mh l h lh 0 mh l h

(21)

1 Tj = g 1 Tc = lc Tf =
lh + g +lc lh + g

lh + g lh

Th ( x)dx =TH + m
c3

c1 mh (lh + g ) mhlh c e e 2 e mh (lh + g ) e mhlh mh g hg


mc (lh + g +lc )

) )

(22)

Tc ( x)dx =TC + m l
c5

(e

e mc (lh + g )

c c

c4 mc (lh + g +lc ) mc (lh + g ) e e mc lc

(23)

1 lf

2lh + g

lh + g +lc

T f ( x)dx =TH + m l

(e

mh (2lh + g )

e mh (lh + g +lc )

h f

c6 e mh (2lh + g ) e mh (lh + g +lc ) mh l f

(24)

Les profils de temprature dans les diffrents bras de lactionneur permettent galement de dterminer une expression de la rsistance de lactionneur :
2 lh + g 0

R=

dR(T ) =
+

0lh
wh h

[1 + (Th TS )]+ 0 g [1 + (T j TS )]
wh h

0 lc
wc h

[1 + (Tc TS )]+

0l f
wh h

[1 + (T

TS

)]

(25)

Toutes ces quations peuvent tre rsolues avec un outil de rsolution mathmatique comme Matlab. La prochaine partie contient les rsultats de cette rsolution qui pourront tre compars avec les rsultats des modlisations aux lments finis prsents dans la partie prcdente.

41

3.1.2. Les rsultats des simulations

En supplment des paramtres technologiques donns dans le Tableau 1, nous allons dans un premier temps fixer les paramtres gomtriques avant daborder les profils de temprature obtenus en faisant varier les hypothses considres dans ltude pour en voir linfluence sur les profils de temprature. Pour mener ces simulations, nous avons choisi une structure prsente dans les vhicules de test qui seront prsents dans le Chapitre 3 . Les paramtres gomtriques de cette structure sont tirs des layout raliss pour la fabrication et sont listes dans le Tableau 3. Paramtres Longueur du bras chaud, l h Longueur du bras froid, l c Valeurs 300 m 240 m 60 m 3 m 20 m 3 m 3 m 3 m

tel-00011349, version 1 - 11 Jan 2006

Longueur du ressort, l f Largeur du bras chaud, wh Largeur du bras froid, wc Largeur du ressort, w f Largeur du bras de jonction, w j Espacement entre les deux bras, g

Tableau 3 : Paramtres gomtriques de lactionneur considr. Pour avoir une meilleure visibilit de la temprature dans les diffrents bras, nous avons dpli le U de lactionneur dans toutes les reprsentations du profil de temprature. Ainsi, nous avons successivement les 3 parties de lactionneur (cf. Figure 35, partie de gauche). La portion de gauche o la temprature est leve correspond au bras chaud, vient ensuite le bras froid avec une chute de la temprature et enfin le ressort o la temprature augmente de nouveau. Cela vrifie le fait que plus le bras est fin, plus la rsistance est leve et par consquent la temprature leve. Aprs avoir prsent le choix de reprsentation de ce profil de temprature dans lactionneur, nous allons prsenter des rsultats issus dune exploitation du modle analytique. Dans la partie prcdente, nous avons vu que la rsistance thermique RT , utilise pour assimiler les pertes par convection des pertes par conduction, pouvait tre simplifie en ngligeant les effets de la couche de nitrure. La Figure 35 permet de vrifier, par la simulation, que la simplification de lexpression de la rsistance RT a trs peu dinfluence sur le profil de temprature et par consquent sur le dplacement de lactionneur.

42

Figure 35 : Etude de linfluence de la simplification de RT sur la rpartition de la temprature. Pour quantifier la diffrence entre les courbes, nous allons utiliser la mthode des moindres carrs. Il sagit alors de dterminer la somme moyenne des carts, levs au carr, calculs pour chaque point de calcul :

tel-00011349, version 1 - 11 Jan 2006

1 J= N

[y (i) y (i)]
1 2

(26)

i =1

o J est lcart entre les deux courbes y1 et y 2 , contenant chacune N points. En utilisant cette mthode, nous avons pu quantifier lcart entre les courbes obtenues en simplifiant ou non la rsistance RT . Cet cart est de 0,0212 ce qui est trs faible et qui permet de confirmer que cette simplification sur lexpression de la rsistance RT naura pas dinfluence sur la dtermination du dplacement de lactionneur. On pourra donc ngliger leffet de la couche de nitrure dans lexpression de RT . Des simulations ont ensuite t menes pour mettre en vidence linfluence de la variation de la rsistivit en fonction de la temprature (cf. quation (11)). Pour cela, nous avons trac la distribution de la temprature en tenant compte ou non de la variation de la rsistivit avec la temprature (cf. Figure 36).

43

Figure 36 : Influence de la prise en compte de la variation de la rsistivit du polysilicium en fonction de la


temprature sur le profil de temprature dans un actionneur en forme de U.

On peut voir sur cette figure que la temprature maximale dans le bras chaud est de 361K

tel-00011349, version 1 - 11 Jan 2006

quand on prend = 0 (i.e. lorsque la rsistivit est considre comme constante) et de 366K lorsque lon prend = 1,25.10 3 C -1 suivant [Huang1999] (i.e. lorsque lon considre la variation de la rsistivit avec la temprature). Ainsi, la variation de la rsistivit avec la temprature a une influence sur le profil de temprature dans les bras ce qui se traduit galement par une diffrence au niveau du dplacement (cf. Figure 37) dont le calcul sera abord dans la prochaine partie. On peut quantifier cette diffrence avec la mthode des moindres carrs avec laquelle lcart entre ces courbes est de 6,72 ce qui nest pas ngligeable.

Figure 37 : Influence de la prise en compte de la variation de la rsistivit du polysilicium avec la temprature


sur la variation du dplacement en fonction du courant inject.

Nous pouvons aussi regarder linfluence de la variation de la rsistivit avec la temprature sur la variation de la rsistance de lactionneur en fonction du courant. En effet, comme cela est visible dans la Figure 38, on retrouve quune rsistivit constante entrane une variation constante de la

44

rsistance alors que, si on considre la rsistivit variable avec la temprature (cf. quation (11)), la rsistance varie entre 876 et 991 .

Figure 38 : Influence de la prise en compte de la variation de la rsistivit du polysilicium avec la temprature

tel-00011349, version 1 - 11 Jan 2006

sur la variation de la rsistance en fonction du courant inject.

Il est donc important de prendre en compte la variation de la rsistivit avec la temprature. Ensuite, le profil de la temprature a t trac avec et sans prise en compte de la convection vers le substrat et vers le milieu ambiant (cf. Figure 39). Cela revient diminuer fortement la conductivit thermique du milieu ambiant (en prenant par exemple 0,005) pour se placer dans le cas o lon ne tient pas compte de la convection.

Figure 39 : Profil de temprature dans lactionneur avec et sans prise en compte de la convection vers le
substrat et vers le milieu ambiant.

La Figure 39 permet de mettre en vidence que les pertes de chaleur par convection vers le substrat et le milieu ambiant ne sont pas ngligeables. En effet, toujours en utilisant la mthode des moindres carrs, lcart entre les deux cas de figure est de plus de 12000 ! 45

Ceci a t particulirement important dans les modlisations aux lments finis. En effet, ce mcanisme de transfert de chaleur nest pas toujours pris en compte par dfaut. Par exemple, pour loutil CoventorWare qui a t utilis lors de ces travaux, il a fallu ajouter une condition aux limites supplmentaires pour que ces changes par convection soient pris en compte dans la modlisation. Il nest donc pas possible de ngliger les changes de chaleur par convection pour ces actionneurs lectrothermiques. Nous pouvons tirer de cette tude les conclusions suivantes : La couche de nitrure peut tre nglige dans la dtermination des changes de chaleur par convection. Cela revient considrer uniquement lpaisseur du milieu ambiant prsent entre le substrat et lactionneur ; La variation de la rsistivit du niveau structurel doit tre pris en compte ; Enfin, il est important de prendre en compte les changes de chaleur par convection qui ont une trs grande influence sur la rpartition de la temprature dans la structure.

tel-00011349, version 1 - 11 Jan 2006

En prenant soin de respecter les conclusions prcdentes, nous avons reconduit des modlisations aux lments finis. La Figure 40 contient les profils de temprature obtenus dune part avec la modlisation aux lments finis, et dautre part avec la modlisation analytique qui vient dtre prsente. La corrlation entre ces deux courbes permet de valider la simplification en une dimension du modle analytique. En effet, lcart entre ces deux courbes (toujours en utilisant la mthode des moindres carrs) est de 0,86.

Figure 40 : Comparaison entre les lments finis et le modle analytique. Une fois le modle analytique lectrothermique valid, nous avons cherch voir linfluence du courant appliqu en traant le profil de la temprature pour diffrentes valeurs de ce courant dactionnement (Figure 41).

46

Figure 41 : Distribution de la temprature dans lactionneur pour diffrentes valeurs du courant dactionnement. Cela permet de voir que plus le courant dactionnement augmente, plus la temprature est leve ce qui laisse prsager une amplitude de dflexion de plus en plus grande cause dune

tel-00011349, version 1 - 11 Jan 2006

dilatation thermique de plus en plus importante. Cependant, cela met aussi laccent sur la ncessit de surveiller cette temprature pour se tenir dans des conditions loignes de la fusion du matriau utilis.

3.2. La modlisation thermomcanique


3.2.1. La modlisation base sur une mthode nergtique

Plusieurs solutions peuvent tre employes pour calculer les dformations des structures lastiques, par exemple la mthode de Castigliano [Huang1999], [Hickey2002]. On peut utiliser les quations classiques de la rsistance des matriaux, par exemple le thorme des travaux virtuels, mais aussi des mthodes nergtiques. Ces mthodes intgrent diffrentes nergies potentielles dans une fonction et les grandeurs recherches sobtiennent par drivation de cette dernire. Dans le cas des structures actionnement coupl (lectrothermique dans notre cas), il est possible de prendre en compte les diffrentes nergies (mcanique et thermique par exemple) dans un mme potentiel. La fonction thermodynamique la plus connue est lnergie interne U qui peut sexprimer, en sappuyant sur le premier principe de la thermodynamique, comme :

dU = W + Q

(27)

o W est le travail et Q la quantit de chaleur dans le systme. Si ce dernier est soumis une temprature T et une force F entranant un dplacement dx dans la mme direction, cette nergie potentielle prend alors lexpression suivante :

dU = W + Q = F dx + T dS
o dS est lentropie dans le systme due llvation la temprature T. On peut dduire de cette expression les potentiels et les diffrentielles associes suivants : 47

(28)

Lenthalpie H :

H = U Fx
dH = x dF + T dS
Lenthalpie libre G :

(29)

G = U Fx TS

dG = x dF S dT

(30)

Lintrt de lenthalpie libre est de permettre le calcul de la dforme x temprature constante (les autres potentiels donnent des rsultats entropie constante, condition plus difficilement vrifiable en pratique) :

tel-00011349, version 1 - 11 Jan 2006

G x = x T = C te

(31)

La dtermination de la dforme x passe donc par la dtermination de lenthalpie libre G. Cependant, cette fonction thermodynamique fait intervenir lentropie dont il convient de trouver son expression. Dans ce but, on utilise le fait que dG est une diffrentielle totale exacte pour pouvoir crire :

S x = T F =C te F T =C te

(32)

De plus, le coefficient T de dilatation thermique du matriau est dfini par lexpression suivante :

T =

1 x S do = T L L T F =C te F T = C
te

(33)

Cela permet den dduire lexpression de lentropie S et de lintgrer dans lexpression de lenthalpie libre :

S = T L F + f (T )
1 F2 G = 2 k + T L F T + T f (T )

(34) (35)

En drivant cette dernire expression, on retrouve lexpression de la dforme x :

F G x = = + T L T F T =C te k

(36)

48

A travers ces quelques quations, on peut voir quil est possible de dterminer la dflexion en bout dactionneur, note x, partir de la dtermination de lenthalpie libre du systme tout en prenant en compte les efforts extrieurs. Dans la prochaine partie, nous allons dterminer cette fonction thermodynamique dans le cas de lactionneur lectrothermique en forme de U.
3.2.2. Application lactionneur lectrothermique en forme de U

Dans ce paragraphe, le calcul de la dformation de lactionneur lectrothermique en forme de U va tre ralis en sappuyant sur la mthodologie qui vient dtre dcrite. La gomtrie tudie sera donc celle donne dans la Figure 42. La structure est considre comme tant soumise une lvation de temprature et/ou une force extrieure F.
lh A lj F MA YA XA x F

tel-00011349, version 1 - 11 Jan 2006

lf

lc

Figure 42 : Gomtrie de lactionneur lectrothermique en forme de U. Comme cela a t vu dans la partie prcdente, nous allons utiliser lenthalpie libre pour retrouver le dplacement x grce une drivation par rapport la force applique F temprature constante. Cette dernire condition permet de simplifier lexpression de lenthalpie libre en ngligeant le terme T . f (T ) . Les effets mcaniques pris en compte dans cette tude sont en tension/compression et en flexion. Il sagit donc uniquement des contraintes normales la section considre dans la structure. Les effets de cisaillement sont ngligs. En sappuyant sur le calcul de lnergie interne en tenant compte des efforts en tension/compression et en flexion [Agati2001], on peut en dduire lexpression de lenthalpie libre en fonction de ces mmes efforts internes :

N 2l G i = i i T Ti li N i + 2 ES i

li

M i ( x) 2 dx 2 EI i

(37)

Dans cette expression, lindice i peut prendre les valeurs {h, j, c, f} correspondant respectivement au bras chaud, au bras de jonction, au bras froid et au bras de flexion. li et Si sont donc respectivement la longueur et la section du bras considr, E est le module de Young, I i sont les moments dinertie des diffrents bras, Ni correspond aux efforts internes en tension/compression et Mi est le moment de flexion. Ce dernier fait intervenir les efforts internes en cisaillement, nots Fi, pour obtenir lexpression suivante :

49

N 2l l G i = i i T Ti li N i + i 2 Ai E 2 EI i

2 2 M i M i Fi li + Fi 2 li 3

(38)

Pour dterminer lexpression des efforts internes mentionns ci-dessus, nous prenons pour origine lencastrement au point A (cf. Figure 42). Ils dpendent donc des efforts dencastrement en A (XA, YA et MA) et de la force F applique en bout dactionneur. Le Tableau 4 rsume lensemble de ces contraintes internes dans les diffrentes parties de lactionneur.
Elment Longueur Effort Ni Moment Mi Effort Fi

Bras chaud Jonction Bras froid

lh lj lc lf

XA F YA
XA XA

MA

YA XA F YA F YA

M A Y Al h M A YAlh X Al j M A YAlh X Al j Flc

tel-00011349, version 1 - 11 Jan 2006

Bras flexion

Tableau 4 : Expression des efforts internes dans lactionneur lectrothermique en forme de U. Une fois que lenthalpie est dtermine dans les diffrents bras de lactionneur, lenthalpie libre de lensemble de lactionneur est obtenue en sommant les diffrentes composantes Gi. Lexpression de lenthalpie totale prend alors lexpression suivante :
2 l ( F YA ) 2 l X T l + T l T l T l + X A l h + f + l c + j T A h h j j c c f f 2E 2 ES j Ah A f Ac 2 2 2 2 l X l Y l lh M A 2 M AY Al h + A h + j (M A Y A l h )2 X A (M A Y A l h )l j + A j + 3 3 2 EI h 2 EI j G = 2 2 ( ) lc F Y l 2 A c M A Y A l h X A l j (F Y A ) M A Y A l h + X A l j l c + + 3 2 EI c (F Y A )2 l f 2 lf 2 M A Y A l f X A l j F l c (F Y A ) M A Y A l f X A l j F l c L f + + 2 EI f 3

(39)
Avant de pouvoir dterminer la dformation de lactionneur, il faut trouver lexpression des efforts dencastrement en A qui sont des inconnues du problme. Pour cela, on utilise le fait que lactionneur est ancr en ce point et que les dplacements engendrs par ces efforts dencastrement sont donc nuls. Ceci peut scrire comme :

G G G = = =0 X A YA M A

(40)

50

Ces trois quations peuvent scrire de manire matricielle. Il est alors possible en inversant la matrice dobtenir les efforts dans lencastrement. La flche f en extrmit de lactionneur est obtenue quant elle en drivant lenthalpie libre selon leffort F :

f =

G = F

l2 lf 2l c +l f X Al j c + 2 EI c 2 EI f

lc 2 lf + M A 2 EI c 2 EI f

2 lj lf 2 l f l 2 c + YA l l l + + + c ES j 2 EI c h 3 c 2 EI f 3 l lf lf 2 lc 3 j 2 T l l c +lc l f + 2l c +l f + F + + T j j ES j 3EI c EI f 3

(41)

Pour obtenir cette expression, nous avons considr tous les termes (en tension/compression et

tel-00011349, version 1 - 11 Jan 2006

en flexion) dans les enthalpies de chacun des bras de lactionneur. Dans la prochaine partie, aprs avoir donn un exemple de courbe donnant la variation du dplacement en fonction du courant appliqu, nous pourrons voir que des simplifications peuvent tre faites pour allger cette expression.
3.2.3. Les rsultats des simulations

Avant daborder les simplifications qui peuvent tre faites, nous allons reprendre lexemple trait dans la partie lectrothermique pour tracer le dplacement en fonction du courant appliqu (cf. Figure 43). On peut remarquer que cette variation est en I2 ce qui est confirm par la seconde courbe.

Figure 43 : Variation de la dflexion de lactionneur en fonction du courant appliqu ( gauche) et du carr du


courant appliqu ( droite).

Aprs avoir prsent cette variation de la dflexion avec le courant appliqu, nous allons aborder la simplification du modle en ngligeant certains termes. Nous avons considr plusieurs cas de figure : Cas 1 : tous les termes de G sont pris en compte ; 51

Cas 2 : nous avons nglig les dformations du bras de jonction ce qui revient ngliger le terme G j ;

Cas 3 : nous avons considr uniquement lexpansion thermique dans le bras froid. Cela revient ngliger les dformations en traction/compression et en flexion du bras froid.

Les rsultats obtenus pour ces diffrents cas sont donns dans le Tableau 5. Courant appliqu Cas 1 : aucune simplification 0.5 1 1.5 2 2.5 3 0.09 0.38 0.84 1.49 2.3 3.25 Cas 2 : Cas 1 + G j nglig 0.1 0.38 0.85 1.50 2.32 3.29 Cas 3 : Cas 2 + seulement expansion thermique dans le bras froid 0.1 0.4 0.89 1.58 2.43 3.45

tel-00011349, version 1 - 11 Jan 2006

Tableau 5 : Etude de la simplification du modle analytique thermomcanique. Nous pouvons voir que les diffrences entre ces deux premiers cas dtude sont infrieures 0.01 m ce qui est ngligeable. On peut donc ngliger le terme G j dans le calcul de la dflexion de lactionneur. Par contre, concernant le troisime cas, les carts avec le cas o aucune simplification nest prise en compte sont de lordre de 0.1 0.2 m ce qui nest pas ngligeable. On ne peut donc pas ngliger les dformations en traction/compression et en flexion du bras froid. Ainsi, pour gagner du temps de calcul, nous avons pu simplifier lexpression de lenthalpie totale dans lactionneur en ngligeant le terme G j .

La validation de la partie thermomcanique de la modlisation analytique a t faite avec des valeurs exprimentales obtenues partir de vhicules de test prsents dans la suite du manuscrit. En prenant les dimensions dessines sur les layout utiliss pour la fabrication, on obtient les courbes de la Figure 44 et lon peut vrifier quil ny a pas corrlation.

52

test 9 8 dplacement en m 7 6 5 4 3 2 1 0 0 0,5 1

simulation analytique / Matlab

1,5 courant en mA

2,5

Figure 44 : Corrlation entre les tests exprimentaux et la simulation analytique sous Matlab avec les
paramtres gomtriques issus des layout de fabrication.

Or, visuellement (cf. Figure 45), on peut se rendre compte que la largeur du bras chaud est infrieure au gap entre les deux bras alors que sur les layout ces dimensions avaient la mme valeur.

tel-00011349, version 1 - 11 Jan 2006

Figure 45 : Photo optique dun actionneur lectrothermique en forme de U. Visualisation de la diffrence entre
la largeur du bras chaud et lespacement entre les deux bras.

Nous avons donc men une tude (qui sera dtaille partir de la page 106) pour prendre connaissance des dimensions relles de ces paramtres. Cela nous a permis de vrifier ce qui est observable visuellement. En effet, pour la structure choisie, nous avions une largeur de bras chaud de 1,47m contre 3m dessin, et un gap de 5,1m contre 3m dessin. En prenant ces valeurs relles dans la simulation, nous obtenons la courbe donne dans la Figure 46 qui permet de vrifier la bonne corrlation entre la simulation et les tests.

53

test 10 9 8 7 6 5 4 3 2 1 0 0 0,5 1

simulation analytique / Matlab

dplacement en m

1,5 courant en mA

2,5

Figure 46 : Corrlation entre les tests exprimentaux et la simulation analytique sous Matlab avec les
paramtres gomtriques mesurs sur les vhicules de test.

Le modle analytique tant valid sous Matlab, le langage VHDL-AMS a t ensuite utilis pour mettre en place un modle de lactionneur lectrothermique en forme de U. En effet, lutilisation

tel-00011349, version 1 - 11 Jan 2006

de ce langage autorise ltude de linfluence de llectronique de commande et lobtention dun modle portable et intgrable en tant que brique lmentaire dans un systme plus complexe.

4 Modlisation analytique de lactionneur avec le langage VHDL-AMS


Lutilisation du langage de simulation de circuits analogiques et numriques VHDL-AMS pour la modlisation des microsystmes est peu courante mais il existe deux manires dutiliser ce langage. Le premier cas consiste trouver un circuit lectrique quivalent au comportement modliser. Nous traiterons ce cas dans la partie lectrothermique de la modlisation. Le deuxime cas est ladaptation des quations analytiques pour les modliser en VHDL-AMS. Ce sera abord dans la partie thermomcanique de la modlisation.

4.1. La modlisation lectrothermique


Cette partie de la modlisation va tre aborde par la connaissance dun circuit lectrique quivalent du systme, [Butler1997] (cf. Figure 48). Ce circuit est utilis car il a le mme comportement que le systme modliser et il est modlisable en VHDL-AMS, langage de simulation de circuits analogiques et numriques. En sinspirant du principe de propagation dune onde comme dans la description de lquation du tlgraphiste, nous dupliquerons le circuit autant de fois que ncessaire jusqu pouvoir modliser le systme complet.
4.1.1. Le circuit lectrique quivalent

Dans les parties prcdentes, nous avons compar des simulations aux lments finis et une modlisation analytique mene sous Matlab qui nous a permis de vrifier que lactionneur lectrothermique en forme de U est un systme pouvant tre modlis en fonction dune seule

54

variable, la longueur, en ngligeant la largeur et lpaisseur des portions de lactionneur, [Budinger 2004]. Le systme devra donc tre divis en plusieurs parties suffisamment troites pour que la variation de la temprature soit linaire le long de chaque lment. Cette hypothse permet, [Mastrangelo1991], de dcrire chaque lment par un couple lectrothermique, T et V, cf. Figure 47 et Figure 48.

T+

V
lment discret

w l

tel-00011349, version 1 - 11 Jan 2006

Figure 47 : Nomenclature utilise pour dcrire les paramtres physiques dun lment discret du systme en
VHDL-AMS.

Chaque lment aura une longueur l , une largeur w et une paisseur h . T + , T , V + et V reprsentent la temprature et le potentiel aux extrmits de llment alors que Tavg est la temprature moyenne dans llment et TS est la temprature du substrat. Le circuit lectrique quivalent permettant de modliser le comportement lectrothermique de cet lment discret est donn dans la Figure 48. Il contient des rsistances pour modliser la conduction thermique mais aussi leffet rsistif de llment et une source de courant assimile la gnration de chaleur par effet Joule.
R (Tavg )
Branche lectrique

T+
GT PG

Tavg
GG

GT

Branche thermique

TS

Figure 48 : Reprsentation du modle lectrothermique de llment discret du systme. Tous les lments prsents dans les branches lectrique et thermique sont fonction des dimensions de llment, des proprits du matriau utilis pour la fabrication et des proprits du gaz

55

environnant. Par analogie avec le modle analytique prsent dans la partie prcdente, on peut donner les quations suivantes. Dans la branche lectrique, R (Tavg ) reprsente la variation de la rsistance de llment avec la temprature qui est lie la variation de la rsistivit avec la temprature :

(T ) = 0 (1 + (T T S ))

(42)

o 0 est la rsistivit de llment temprature ambiante (i.e. en petits signaux), est le coefficient de variation de la rsistivit en fonction de la temprature et TS est la temprature du substrat. Dans la branche thermique, GT est la conductance thermique reprsentant le flux thermique par conduction travers llment et sexprime comme :

tel-00011349, version 1 - 11 Jan 2006

GT =

2k p wh
l

(43)

o k p est la conductivit thermique du matriau. Les pertes par convection vers le milieu ambiant et vers le substrat seront assimiles des pertes par conduction travers une rsistance thermique quivalente, GG , qui sexprime comme :

GG =

FS kG wl tG

(44)

o kG est la conductivit thermique du milieu ambiant et tG est la distance entre lactionneur et le substrat. FS est un facteur de forme permettant de prendre en compte limpact de la forme de llment sur le transfert thermique vers le milieu ambiant. Il sexprime comme, [Lin 1996] :

FS =

h 2tG + 1 + 1 w h

(45)

Les pertes par radiation sont ici ngliges [Huang1999]. Enfin PG reprsente le flux de chaleur gnr dans llment par effet Joule et est une fonction de la densit de courant, J applique llment :

PG = J 2 whl

(46)

qui sexprime respectivement dans le cas dun actionnement en courant et en tension, comme :

PG =

l
wh

I2 =

wh 2 V l

(47)

56

Une fois que tous les lments du circuit lectrique quivalent sont dfinis, on peut passer limplmentation en VHDL-AMS. Loutil utilis pour celle-ci est SystemVision [SV2005] MentorGraphics. Limplmentation se droule en plusieurs tapes. Dans un premier temps, il sagit de crer le modle de tous les lments du circuit quivalent (rsistance de conduction, rsistance de convection, source de courant). Ensuite, ces lments sont relis pour crer le modle dun lment discret du systme. Ces lments discrets, dont il faudra optimiser le nombre pour obtenir de bons rsultats, sont ensuite relis pour constituer le modle de chaque portion de lactionneur, savoir le bras chaud, le bras de jonction, le bras froid et le bras de flexion. Aprs avoir joints ces 3 modles, on peut faire la modlisation de lactionneur dans sa globalit. Tout ceci fait lobjet de la prochaine partie en sappuyant sur la structure dj utilise dans les deux parties prcdentes.
4.1.2. Les rsultats des simulations

de

tel-00011349, version 1 - 11 Jan 2006

Nous allons nous appuyer sur la structure utilise dans les prcdentes parties pour dcrire les rsultats dune simulation lectrothermique en VHDL-AMS. Les proprits technologiques utilises sont donc donnes dans le Tableau 1 et les paramtres gomtriques dans le Tableau 3. Tous les modles mis en place pour mener ces simulations sont disponibles dans les annexes de ce manuscrit. Dans un premier temps, nous avons cr les modles des composants du circuit quivalent avant de pouvoir les relier entre eux pour pouvoir obtenir le modle quivalent sous la forme donne dans la Figure 49. Tfix1 Bras chaud Vfix1 TS Tprofil_h Vhj TS Tprofil_j Thj Bras jonction Vjc TS Tprofil_c Tjc Bras froid Vcf TS Tprofil_f Tcf Bras flexion Vfix2 Tfix2

Figure 49 : Schma en blocs du modle lectrothermique dun actionneur lectrothermique en forme de U. Les quatre branches nommes, Tprofil_h, Tprofil_j, Tprofil_c et Tprofil_f, permettent de visualiser le profil de temprature dans lactionneur lectrothermique. En prenant 10 lments discrets par bras, nous obtenons le profil donn dans la Figure 50. Sur cette mme figure a t intgr le profil obtenu avec Matlab. On peut donc vrifier la bonne corrlation entre ces deux modlisations du comportement lectrothermique de lactionneur et par consquent valider le modle lectrothermique en VHDL-AMS. En effet, lcart entre les deux rsultats, dtermin avec la mthode des moindres carrs est gal environ 1,3.

57

Matlab 400 390 380 temprature en K 370 360 350 340 330 320 310 300 0 100 200

VHDL-AMS 10 lments / bras

300

400

500

600

localisation en m

Figure 50 : Corrlation entre la simulation analytique sous Matlab et la modlisation en VHDL-AMS de

tel-00011349, version 1 - 11 Jan 2006

lactionneur lectrothermique en forme de U.

Cependant, avant dutiliser 10 lments par bras, nous avons men une tude sur linfluence du nombre dlments dans chaque bras sur le rsultat obtenu. Sur la Figure 51, on peut voir les profils obtenus pour 2, 3, 5 et 10 lments par bras.
420,00 400,00

2 lments / bras 3 lments / bras 5 lments / bras 10 lments / bras

temprature en K

380,00 360,00 340,00 320,00 300,00 0,00

100,00

200,00

300,00

400,00

500,00

600,00

localisation en m

Figure 51 : Etude de linfluence du nombre dlments par bras sur le profil de temprature obtenu avec la
modlisation en VHDL-AMS.

On peut vrifier quen dessous de 5 lments par bras, les profils obtenus ne sont pas conformes celui obtenu avec Matlab et valid avec les modlisations aux lments finis. Ceci est vrifiable sur la Figure 52 qui donne lvolution de lcart entre le modle VHDL-AMS et le modle Matlab en fonction du nombre dlments utiliss dans le modle VHDL-AMS.

58

10 9 8 7 6 5 4 3 2 1 0 0 5 10 nombre d'lments / bras 15 20

Figure 52 : Evolution de lcart entre le modle VHDL-AMS et le modle Matlab en fonction du nombre
dlments utiliss dans le modle VHDL-AMS dans chaque partie de lactionneur.

Cependant, cette erreur est dtermine avec la mthode des moindres carrs sur les points de calculs. On peut donc se rendre compte que pour le cas 5 lments / partie de lactionneur , mme

tel-00011349, version 1 - 11 Jan 2006

si les points de calcul sont proches de la courbe obtenue avec le modle Matlab, il ny a pas une parfaite corrlation au niveau des points de calcul manquant au modle VHDL-AMS. Pour obtenir une corrlation correcte (i.e. mme au niveau des points de calcul manquants), il faut utiliser au moins 10 lments finis par bras pour obtenir un profil de temprature correct. Aprs cette tude, on peut rduire le modle lectrothermique de lactionneur en forme de U suivant le schma donn dans la Figure 53. Paramtres gomtriques Modle lectrothermique de lactionneur en forme de U Paramtres technologiques Figure 53 : Schma bloc du modle lectrothermique de lactionneur en forme de U. On y repre les entres du modle qui sont les paramtres technologiques (tels que la rsistivit du polysilicium, la conductivit thermique de lair, donns dans le Tableau 1) et les paramtres gomtriques (qui correspondent aux dimensions de la structure donns dans le Tableau 3). Les sorties du modle sont les tempratures moyennes dans les diffrents bras notes Th , T j , Tc et

erreur

Tc , T j , Th , T f

T f qui seront utilises dans la modlisation thermomcanique prsente dans la prochaine partie.

59

4.2. La modlisation thermomcanique


La modlisation thermomcanique sera aborde dans ce manuscrit comme ladaptation au langage VHDL-AMS des quations utilises pour la modlisation analytique sous Matlab. En effet, il nexiste pas de circuit lectrique quivalent permettant de modliser le comportement thermomcanique dun systme. Dans la littrature, la seule mthode trouve est lutilisation dune relation empirique tablie partir de tests exprimentaux et par consquent trs li la structure et au procd de fabrication utiliss. Dans notre cas, dsirant obtenir un modle du systme gnrique (i.e. ne dpendant pas des caractristiques du systme), nous faisons donc le choix dadapter les relations vues prcdemment. Cela revient donc considrer lexpression simplifie de lenthalpie libre dans le systme :
T . X A . Th .l h Tc .l c T f .l f 2 2 X 2l lf l l Y l 2 + A h + + c + h M A M AY Al h + A h 3 Ac 2E Ah A f 2 EI h G = (F Y A )2 l c 2 lc 2 ( ) . . . . + + + M Y l X l F Y M Y l X l l A h A j A A A h A j c A 2 3 EI c 2 2 lf M Y .l X .l F.l 2 (F Y ) M Y .l X .l F.l l + (F Y A ) l f + A A f A j c A A A f A j c f 2 EI f 3

tel-00011349, version 1 - 11 Jan 2006

(48)
Les quations rsoudre sont alors au nombre de quatre pour pouvoir dterminer les inconnues X A , YA et M A , qui sont les efforts lencastrement, mais aussi f qui est la flche de lactionneur. Ces quations sont tires de :

G G G G =0 =0 =0 = f X A YA M A F

(49)

Le langage VHDL-AMS permet de rsoudre les quations de faon simultane, et non de manire squentielle, comme cest le cas dans les outils classiques de rsolution dquations mathmatiques. Ces quatre quations vont donc pouvoir tre rsolues pour connatre la valeur des quatre inconnues mentionnes prcdemment et en particulier f la flche de lactionneur qui est le but de cette partie de la modlisation. Le code correspondant la rsolution de ces quations est inclus dans le code du testbench cr pour modliser le comportement complet (c'est--dire lectro thermo mcanique) de lactionneur lectrothermique en forme de U et donn dans les annexes de ce manuscrit.

60

On peut alors obtenir la variation du dplacement en bout dactionneur en fonction du courant appliqu et comparer cette variation celle que nous avions obtenue avec Matlab (cf. Figure 54).
VHDL-AMS 4 3,5 3 2,5 2 1,5 1 0,5 0 0 0,5 1 1,5 2 2,5 3 courant appliqu en mA Matlab

Figure 54 : Corrlation entre la modlisation analytique sous Matlab et sous VHDL-AMS sur la variation de la

tel-00011349, version 1 - 11 Jan 2006

dflexion en m

dflexion de lactionneur en fonction du courant appliqu.

Ces courbes permettent de valider le modle thermomcanique tabli en VHDL-AMS tant donn que la courbe obtenue avec Matlab avait t valid par les tests exprimentaux (cf. Figure 46). Laboutissement de cette partie sur la modlisation dun actionneur lectrothermique en forme de U est la cration dun modle VHDL-AMS valid par les tests. Ce modle prsente plusieurs avantages : tre simulable rapidement en comparaison aux temps de calcul pour les modlisations aux lments finis ; pouvoir prendre en compte llectronique de commande puisque le langage VHDLAMS est avant tout un langage de simulation de circuit lectrique numrique et/ou analogique ; et enfin la possibilit dtre intgrable dans le modle dun systme plus complexe (par exemple, un miroir orient grce une matrice dactionneurs lectrothermiques en forme de U).

5 Etude de la sensibilit du modle vis--vis des paramtres dentre du modle


Nous venons de voir que le modle analytique permet de donner le dplacement de lactionneur, ainsi que la rsistance de celui-ci en fonction de diffrents paramtres dentre. Ces derniers sont nombreux et nous ne connaissons quasiment jamais leur valeur avec exactitude. Cela a dj t vu dans le Tableau 1 o les paramtres technologiques avaient t donns tout en prcisant les marges dincertitude de ces valeurs dune source une autre. 61

Dans le but de restreindre les investigations sur la connaissance de la valeur de ces diffrents paramtres, nous avons men une tude de la sensibilit du modle analytique vis--vis de ces paramtres. Ce paragraphe est donc loccasion de dfinir cette sensibilit, de lier les paramtres dentre du modle aux phnomnes physiques mis en jeu dans leur variation, de prsenter la sensibilit du modle vis--vis de ces paramtres pour pouvoir conclure par un classement de ces paramtres en fonction de leur influence sur le rsultat du modle.

5.1 Dfinition
Pour faire cette tude de linfluence des paramtres dentre du modle sur le rsultat obtenu, nous aurions pu tracer le dplacement obtenu en fonction dune variation de chacun de ces paramtres. Mais les dplacements variant avec ces paramtres, il nest pas ais de conclure. Il a donc fallu trouver un autre moyen de comparer linfluence de ces paramtres sur les

tel-00011349, version 1 - 11 Jan 2006

rsultats de la modlisation. Lautre alternative est dutiliser la sensibilit qui est dfinie par :

S= W

0
W0

(50)

o 0 est le dplacement obtenu avec le valeur nominale W0 du paramtre. Pour les paramtres technologiques, cette valeur est choisie en fonction des donnes fournies par le fondeur, ou bien grce une tude bibliographique. Pour les paramtres gomtriques, nous avons choisi comme valeur nominale celle qui a t utilise dans la ralisation des masques de fabrication. En imposant une variation W au paramtre considr, on obtient la variation sur le dplacement. Cela revient donc faire le rapport entre les pourcentages de variation du dplacement et du paramtre considr. Avec cette grandeur, nous pouvons directement tudier et comparer les influences des paramtres dentre du modle. En effet, la sensibilit est normalise et permet de conclure directement que : si S < 0 , la sortie du modle (i.e. le dplacement) a une variation oppose celle du paramtre, i.e. lorsque lentre augmente, la sortie diminue et vice versa ; si S < 1 , la sortie du modle (i.e. le dplacement) a une variation infrieur celle du paramtre ; si S = 1 , la sortie du modle varie de faon identique lentre ; enfin si S > 1 , la variation dun paramtre dentre du modle est amplifie au niveau de la variation de la sortie du modle. En rangeant les sensibilits vis--vis des paramtres dentre dans lordre croissant, nous pourrons alors connatre les paramtres les plus influents sur les rsultats du modle analytique. 62

5.2 Phnomnes physiques lis la variation des paramtres dentre du modle


Avant de donner les rsultats obtenus pour les diffrents paramtres dentre du modle, nous allons les lister et les lier aux phnomnes physiques pouvant expliquer une variation de leur valeur par rapport la valeur nominale. Les paramtres dentre du modle peuvent tre classs en deux catgories, les paramtres gomtriques (directement lis la conception des masques de fabrication et rappels dans la Figure 55) et les paramtres technologiques (lis au procd technologique choisi pour la fabrication des structures).

lh g wh wc lf lc

tel-00011349, version 1 - 11 Jan 2006

Figure 55 : Vue de dessus schmatique de lactionneur lectrothermique en forme de U. Tous ces paramtres sont lists et relis un phnomne physique pouvant expliquer une variation de sa valeur dans le Tableau 6. Nature
Paramtres gomtriques

Description Largeur du bras chaud Largeur du bras froid Espacement entre les bras chaud et froid Epaisseur de la couche structurelle Epaisseur de la couche sacrificielle Rsistivit temprature

Notation

Phnomne physique li
La surgravure : entrane le fait que les dimensions

wh wc

dessines la limite des rgles de dessin peuvent ne pas tre respectes la fabrication.

g
h

La qualit des dpts : fait que les paisseurs des

couches peuvent varier dun run un autre. Le

tv

fondeur fournit donc les paisseurs exactes pour chaque run.


Le dopage de la couche structurelle : conditionne

0 et

technologiques

ambiante + coefficient de variation avec la temprature Coefficient dexpansion thermique

les valeurs de sa rsistivit temprature ambiante et de sa variation avec la temprature.

Paramtres

TCE

La qualit du dpt de la couche structurelle et de son dopage : conditionne sa facult se dilater

63

Conductivit thermique du polysilicium


environnementaux

kp

sous leffet de la chaleur ( TCE ) et conduire la chaleur ( k p ).

Conductivit thermique du milieu ambiant Temprature ambiante

kv TS

La nature du milieu ambiant : correspond

Paramtres

certaines gammes de pression, dhumidit, de temprature, La pression du milieu ambiant affecte en particulier la conductivit thermique dans celui-ci.

Tableau 6 : Les paramtres dentre du modle analytique de lactionneur lectrothermique et les phnomnes
physiques pouvant expliquer une variation de leur valeur.

5.3 Sensibilits des diffrents paramtres dentre du modle analytique de lactionneur lectrothermique en forme de U
tel-00011349, version 1 - 11 Jan 2006
Dans cette partie, une tude de linfluence des diffrents paramtres dentre du modle va tre prsente. Elle a t faite travers ltude de la variation du dplacement en fonction du paramtre mais aussi en utilisant la sensibilit qui a t prsente prcdemment. La variation des paramtres gomtriques sera limite entre +90% et -50% au lieu de -90% pour les autres paramtres. En effet, cela permet de rester dans des gammes de valeurs ralistes.
5.3.1. Remarque sur le rapport = lc l h

En prliminaires cette tude de la sensibilit, nous allons prsenter linfluence du rapport

= lc lh sur le dplacement de lactionneur. La dflexion de lactionneur est une fonction de ce


rapport [Chen2002], comme cela est prsent dans la Figure 56.

Figure 56 : Influence de = lc lh sur la dflexion de lactionneur lectrothermique en forme de U. Pour obtenir cette courbe, nous avons considr la structure dont les paramtres gomtriques sont donns dans le Tableau 3. En gardant une mme longueur lh , nous avons fait varier la longueur 64

lc pour obtenir des rapports = lc lh entre 0.1 0.9. Tout en gardant un mme courant appliqu
(2.5mA en loccurrence), on peut voir que ce rapport a une influence sur la dflexion de lactionneur et quun pic optimal est obtenu pour un rapport des longueurs de 80%. Dans un premier temps, lamplitude de la dflexion augmente avec la longueur du bras froid. En effet, plus la longueur du bras froid augmente, plus la diffrence thermique entre les deux bras est grande entranant un plus fort dplacement. Cependant, au-del du pic, lamplitude de la dflexion diminue car la longueur du bras de flexion est de plus en plus faible et atteint des longueurs qui rendent lactionneur de plus en plus rigide. Nous retiendrons donc de cette courbe quun rapport optimal existe entre la longueur du bras froid et du bras chaud. Il est donc intressant de se situer cet optimum qui correspond un bras froid dont la longueur est 80% de la longueur du bras chaud. On peut prciser que ce pourcentage entre les longueurs est toujours de 80% quel que soit le courant appliqu ou la longueur du bras chaud.

tel-00011349, version 1 - 11 Jan 2006

5.3.2. La longueur de lactionneur, l h

Linfluence de la longueur du bras chaud, lh , sur la dflexion est donne dans la Figure 57 donnant la variation de la dflexion en fonction de ce paramtre, mais aussi la sensibilit du modle vis--vis de ce paramtre pour diffrentes valeurs de courant et de taux de variation du paramtre. Cela permet de voir que plus on augmente la longueur du bras chaud, plus la dflexion augmente de mme.

Figure 57 : Influence de lh sur la dflexion et sensibilit du modle vis--vis de lh . Pour obtenir cette courbe, nous avons gard un coefficient de 0.8 (cf. paragraphe prcdent) et nous avons limit les variations du paramtre 30% pour rester dans des cas de variation ralistes. Ainsi, pour un mme courant appliqu, on peut dire que : plus lactionneur est long, plus sa dflexion est importante .

65

Dans ltude de la sensibilit du modle vis--vis de ce paramtre, on retrouve que plus la longueur de lactionneur augmente, plus le dplacement augmente. On peut remarquer que la sensibilit ne varie pas en fonction du courant mais en fonction du taux de variation du paramtre. Par consquent, daprs cette courbe, on serait tent de raliser des actionneurs les plus longs possible. Cependant, il faut aussi tenir compte de deux autres consquences une augmentation de longueur de lactionneur : le risque de collage de lactionneur avec le substrat et la diminution de la force exerce. La premire cause qui nous vient lesprit lorsque lon parle de risque de collage avec une augmentation de la longueur de lactionneur est son poids, i.e. linfluence de la gravit sur lactionneur. En effet, sous leffet de lacclration gravitationnelle, lactionneur peut flchir et entrer en contact avec le substrat. En tudiant ce phnomne, on peut tablir quil existe une longueur limite ne pas dpasser pour viter ce problme. Daprs le calcul de la flche en bout dactionneur due la gravit (cf. dtails dans les annexes), on peut trouver lexpression de cette longueur limite :
1/ 4

tel-00011349, version 1 - 11 Jan 2006

lmax

2 Eh 2 max = 3 g

(51)

o E est le module de Young, h lpaisseur de lactionneur, max est la dflexion maximale autoris pour lactionneur (cela correspond donc lpaisseur de la couche dair prsente entre lactionneur et le substrat), g est lacclration due la gravit (9.81 m.s-2) et est la densit massique du cantilever. Pour donner une ide, nous allons dterminer cette longueur limite pour les deux procds technologiques qui ont t utiliss au cours de ces travaux de thse et qui seront prsents dans la prochaine partie. Dans le cas de lutilisation du niveau poly 1 du procd technologique polyMUMPs4, la dflexion maximale autorise est lpaisseur du premier niveau sacrificiel, i.e. 2m. La longueur maximale est alors de 2460 m. Dans le cas du procd technologique Epi-SOI5, la dflexion maximale autorise est 0.6 m et par consquent la longueur maximale est de 5.86 mm. On peut se rendre compte, travers ces donnes, que lacclration gravitationnelle ne prsente pas une grande limite dans le risque de collage. Mais il existe dautres phnomnes qui peuvent entraner des collages dun cantilever en fonction de sa longueur [Johnstone2002] : une acclration (avec le cas typique de lacclration gravitationnelle), leffet Casimir et les forces de Coulomb.

4 5

Les paramtres typiques du procd polyMUMPs sont h = 2m, = 2331 kg.m-3 et E = 158 GPa. Les paramtres typiques du procd Epi-SOI sont h = 20 m, = 2331 kg.m-3 et E = 169 GPa.

66

Il existe donc des origines diverses et varies qui peuvent entraner le collage de lactionneur avec le substrat. Et la seule question quil faut finalement se poser est de savoir si lactionneur, une fois en contact avec le substrat, est capable ou non de retrouver sa position initiale. Pour cela, on doit tudier lquilibre entre lnergie dadhsion due au contact de lactionneur avec le substrat et lnergie lastique due la dformation lastique de lactionneur. On utilise alors le nombre de Peel dont la valeur doit tre suprieure 1 pour que le cantilever nadhre pas au substrat. Lexpression de ce paramtre est [Mastrangelo1993] :

3Eh 3t v Np = 8 S l 4

(52)

o E est le module de Young, h est lpaisseur du cantilever, tv est lpaisseur de la couche dair prsente entre le cantilever et le substrat, S est lnergie de surface et l est la longueur du cantilever. Ainsi, on peut donner une expression pour la longueur maximale ne pas dpasser pour tre assur que le cantilever, aprs contact avec le substrat, ne restera pas coll :

tel-00011349, version 1 - 11 Jan 2006

lmax

3Eh 3t v 2 = 8 S

1/ 4

(53)

Dans le cas du procd technologique polyMUMPs, lnergie de surface est de 270 mJ.m-2 [Johnstone2002]. Donc, si on utilise le niveau poly1, on obtient alors une longueur limite de 51.48 m. Pour le cas du procd technologique Epi-SOI, lnergie de surface est de 1.44 J.m-2 [Hoshi2005]. Dans ce cas, la longueur limite est 106 m. On peut donc effectivement se rendre compte que ce problme dadhsion est un problme important prendre en compte dans lallongement de lactionneur. La deuxime consquence de lallongement de lactionneur concerne la force exerce par celui-ci. En effet, pour un mme courant appliqu, lactionneur ayant la longueur la plus courte dlivrera plus de force [Comtois1998]. Par contre, en appliquant plus de courant, lactionneur ayant la plus grande longueur pourra dlivrer une force plus importante. Ainsi, pour un mme courant appliqu, plus la longueur de lactionneur sera grande, plus sa dflexion sera grande mais galement, plus la force exerce sera faible. Il faut donc trouver un compromis en fonction des applications vises.
5.3.3. La largeur du bras chaud, wh

La dflexion de lactionneur est une fonction de la largeur du bras chaud [Huang1999], ce que lon peut vrifier sur la Figure 58.

67

Figure 58 : Influence de la largeur du bras chaud sur la dflexion de lactionneur en forme de U.

tel-00011349, version 1 - 11 Jan 2006

La dflexion dcrot lorsque la largeur du bras chaud augmente. En effet, laugmentation de la largeur du bras chaud a deux consquences faisant diminuer le mouvement de lactionneur. Dune part, laugmentation de la largeur du bras chaud entrane une diminution de la rsistivit, et par consquent une diminution de la temprature dans ce bras ce qui se traduit par une diminution du dplacement. Dautre part, laugmentation de la largeur du bras chaud rend ce bras plus rigide ce qui limite le dplacement de lactionneur. En effet, la rigidit de flexion dune poutre est le produit EI , o E est le module de Young et

I est le moment dinertie. Or le moment dinertie dune poutre de section rectangulaire (largeur w et hw3 paisseur h ) a pour expression I = . Le module de Young tant indpendant de la gomtrie de 12
la poutre, nous pouvons donc voir que plus la poutre est large, plus elle est rigide ce qui permet de valider les rsultats obtenus pour ltude de la sensibilit vis--vis de ces paramtres. Nous avons galement men une tude de la sensibilit du modle vis--vis de ce paramtre. Dans cette gamme de variation du paramtre, la sensibilit atteint un maximum suprieur 15 (cf. Figure 59), ce qui est trs important.

68

Figure 59 : Sensibilit du modle vis--vis de la largeur du bras chaud. On peut profiter de cette surface pour remarquer que la sensibilit est ngative. Cela vient

tel-00011349, version 1 - 11 Jan 2006

conforter le fait que lorsque lon diminue la largeur du bras froid, le dplacement est augment. En effet, une sensibilit ngative traduit des variations opposes. Ce paramtre est donc trs influant sur le rsultat de la simulation. Lors de la conception dun actionneur lectrothermique en forme de U, il sera donc prfrable de prendre des largeurs de bras chaud les plus faibles possible. Cependant, nous sommes limits par les rgles de dessin des procds technologiques qui imposent des largeurs suprieures 2m.
5.3.4. La largeur du bras froid, wc

Toujours en utilisant le modle analytique prsent dans le chapitre prcdent, nous avons tudi linfluence de la largeur du bras froid, wc , sur la dflexion de lactionneur et sur la sensibilit du modle vis--vis de ce paramtre dentre (cf. Figure 60). En ne faisant varier que la largeur du bras froid et en prenant un rapport de 80% entre la longueur du bras froid et la longueur du bras chaud, on peut observer que plus le bras froid est large, plus la dflexion de lactionneur est grande. En effet, si on augmente la largeur du bras froid, on augmente la surface dchange de chaleur par convection vers le milieu ambiant au niveau de ce mme bras. Ainsi, en augmentant la largeur du bras froid, on diminue la temprature dans ce mme bras. Cela revient donc augmenter la diffrence de temprature entre les deux bras et par consquent augmenter la dflexion de lactionneur. Tout comme pour ltude de la largeur du bras chaud, la sensibilit du modle vis--vis de la largeur du bras froid a t tudie en limitant la diminution de la largeur du bras froid -50%.

69

b Figure 60 : Influence de wc sur (a) le dplacement de lactionneur et (b) sur la sensibilit du modle. Ces courbes amnent donc la conclusion quil faut prendre un bras froid le plus large

tel-00011349, version 1 - 11 Jan 2006

possible pour atteindre de grandes dflexions. Cependant, si la largeur du bras froid devient trop grande, nous nous cartons des conditions des poutres longues considres dans la modlisation. En effet, pour rester dans le domaine de validit du modle, il faut que la largeur et paisseur des bras de lactionneur soient faibles devant leur longueur.
5.3.5. La largeur du bras flexion, w f

A partir dune valeur fixe de 3 m (choisie alatoirement) pour la largeur du bras de flexion, nous avons fait varier ce paramtre entre -50% et +90% tout en gardant une largeur du bras chaud fixe 3m. Nous avons alors pu tracer linfluence de ce paramtre sur le dplacement pour une valeur fixe du courant mais aussi regarder la sensibilit du modle vis--vis de ce paramtre (cf. Figure 61).

b Figure 61 : Influence de w f (a) le dplacement de lactionneur et (b) sur la sensibilit du modle. Grce ces courbes, on peut voir que lorsque lon diminue la largeur du bras de flexion, le

dplacement de lactionneur est amplifi (en effet, cela se traduit par une sensibilit ngative puisque 70

les variations sont de signes opposs). Il faut donc rechercher concevoir des actionneurs avec des bras de flexion les plus fins possible car cela augmente leur souplesse. Cependant, il faut veiller rester dans les limites des rgles de dessin et prendre en compte la grande sensibilit du modle pour ces faibles valeurs.
5.3.6. La largeur du bras de jonction, w j

La largeur du bras de jonction est gnralement choisie comment tant gale la largeur du bras chaud. Pour tudier linfluence de ce paramtre sur le modle, nous avons fix alatoirement ce paramtre 3 m et nous lavons fait varier entre -50% et +90% tout en gardant une largeur du bras chaud fixe 3m. Nous avons alors pu tracer linfluence de ce paramtre sur le dplacement pour une valeur fixe du courant mais aussi regarder la sensibilit du modle vis--vis de ce paramtre.

tel-00011349, version 1 - 11 Jan 2006

b Figure 62 : Influence de w j sur (a) le dplacement de lactionneur et (b) sur la sensibilit du modle. Ces rsultats permettent de mettre en vidence que la largeur du bras de flexion a trs peu

dinfluence sur le dplacement de lactionneur.


5.3.7. Lespacement entre les deux bras,

La Figure 63 permet de voir linfluence du gap entre les deux bras, g , qui correspond galement la longueur du bras de jonction.

71

Figure 63 : Influence de g sur la dflexion de lactionneur en forme de U.

tel-00011349, version 1 - 11 Jan 2006

Comme le montre la Figure 63, la dflexion commence par augmenter avec laugmentation du gap jusqu atteindre un pic pour un espacement de 2.7 m. Au-del de ce pic, la dflexion diminue avec laugmentation du gap. Pour complter ltude de linfluence de ce paramtre sur le comportement de lactionneur, nous avons trac la sensibilit du modle vis--vis de celui-ci (cf. Figure 64).

Figure 64 : Sensibilit du modle vis--vis de lespacement entre les deux bras de lactionneur. On peut remarquer le changement de signe de la sensibilit vis--vis de g au cours de la variation de ce paramtre. Cest la traduction du pic observ dans la variation du dplacement en fonction de ce paramtre. Afin de mieux visualiser ce changement de signe de la sensibilit, nous lavons trac pour une valeur fixe du courant (cf. Figure 65). Cela est rendu possible par le fait que la sensibilit ne change pas avec le courant pour un taux de variation donn.

72

Figure 65 : Sensibilit du modle vis--vis de g pour une valeur fixe du courant appliqu.

tel-00011349, version 1 - 11 Jan 2006

Lorsque le taux de variation du paramtre est infrieur -0.2, la sensibilit est positive. Cela veut dire que lon se trouve dans la premire partie de la courbe de la Figure 63 o laugmentation de

g entrane une augmentation du dplacement. Par contre, au-del du taux de variation -0.2, la
sensibilit est ngative ce qui signifie que lon se trouve sur la seconde partie o le dplacement diminue mme si on augmente g . Il existe donc une longueur optimale pour le bras de jonction. Il faut noter que cest cette portion de lactionneur que sont appliques les forces dues lextension thermique des deux bras. Il y a donc un effet levier et il existe une longueur optimale entre lapplication de ces deux forces pour que leur effet soit optimal.
5.3.8. Lpaisseur de la couche structurelle, h

De la mme manire que pour les autres paramtres gomtriques, nous avons tudi linfluence de lpaisseur de la couche structurelle (cf. Figure 66).

73

Figure 66 : Influence de lpaisseur de la couche structurelle sur la dflexion de lactionneur. Cette courbe permet dobserver que la dflexion diminue avec laugmentation de lpaisseur

tel-00011349, version 1 - 11 Jan 2006

de la couche structurelle. Ceci est du au fait quen augmentant lpaisseur de la couche structurelle, on diminue la rsistivit de lactionneur. De ce fait, pour un mme courant inject, la densit de courant est plus faible et le dplacement galement. Une tude de la sensibilit du modle pour ce paramtre a galement t mene en limitant la rduction de ce paramtre -50% pour rester avec des valeurs ralistes. On peut remarquer sur les rsultats obtenus (cf. Figure 67) que la sensibilit est ngative ce qui confirme que le paramtre et le dplacement nvoluent pas de la mme manire. En effet, une augmentation de lpaisseur de la couche structurelle nentrane pas une augmentation du dplacement mais au contraire, une diminution de celui-ci.

Figure 67 : Sensibilit du modle vis--vis de lpaisseur de la couche structurelle.

74

5.3.9. La distance entre lactionneur et le substrat, t v

Ltude de la sensibilit du modle vis--vis de la distance entre lactionneur et le substrat (cf. Figure 68) montre que plus on augmente cette distance, plus le dplacement est important. En effet, les valeurs de la sensibilit sont positives. Ce rsultat est du au fait quen augmentant cette distance, on rduit les pertes de chaleur par convection, ce qui se traduit par une augmentation de la temprature dans les bras et par consquent une augmentation du dplacement.

tel-00011349, version 1 - 11 Jan 2006

Figure 68 : Sensibilit du modle vis--vis de la distance entre lactionneur et le substrat.


5.3.10. La rsistivit du niveau structurel temprature ambiante,

La sensibilit du modle a aussi t tudie vis--vis des paramtres technologiques. Le premier est la rsistivit du niveau structurel temprature ambiante. On peut remarquer (cf. Figure 69) que cette sensibilit est trs variable en fonction du courant appliqu. En effet, pour des courants trs faibles la sensibilit est constante et environ gale 1. Par contre, plus le courant augmente, plus la sensibilit est leve.

75

Figure 69 : Sensibilit du modle vis--vis de la rsistivit du niveau structurel temprature ambiante.

tel-00011349, version 1 - 11 Jan 2006

5.3.11. Le coefficient dexpansion thermique, TCE

Nous avons men les simulations en prenant les diffrentes valeurs que peut prendre le coefficient dexpansion thermique. En effet, daprs les recherches documentaires, on a pu tablir que

=2.8 0.2 10-6 K-1. La courbe de la Figure 70 permet donc de voir linfluence sur le dplacement de
lincertitude sur la valeur de ce paramtre.

Figure 70 : Etude de linfluence du coefficient dexpansion thermique sur la dflexion de lactionneur


lectrothermique en forme de U.

En effet, on peut se rendre compte quune incertitude de 0.2 10-6 K-1 sur la valeur du coefficient dexpansion thermique entrane une incertitude de 0.2 m sur la dflexion de lactionneur ce qui nest pas ngligeable.

76

Il faut remarquer que les variations du paramtre et du dplacement qui en dcoulent sont identiques. Cela se traduit par une sensibilit du modle constante et gale 1 vis--vis de ce paramtre (cf. Figure 71).

tel-00011349, version 1 - 11 Jan 2006

Figure 71 : Sensibilit du modle vis--vis du coefficient dexpansion thermique. En effet, une sensibilit gale 1 revient dire que si on augmente le paramtre de 10%, on augmente le dplacement galement de 10%.
5.3.12. La conductivit thermique du niveau structurel, k p

La sensibilit du modle vis--vis de la conductivit thermique du niveau structurel est ngative (cf. Figure 72). Cela signifie quen augmentant ce paramtre, on diminue le dplacement. En effet, si on augmente la conductivit thermique du niveau structurel, llvation de chaleur dans les bras de lactionneur sera moins importante ce qui se traduit par un dplacement moins important.

Figure 72 : Sensibilit du modle vis--vis de la conductivit thermique du niveau structurel.

77

5.3.13. La conductivit thermique du milieu ambiant, k v

Nous avons galement tudi la sensibilit du modle vis--vis de la conductivit thermique du milieu ambiant (cf. Figure 73).

tel-00011349, version 1 - 11 Jan 2006

Figure 73 : Sensibilit du modle vis--vis de la conductivit thermique du milieu ambiant. Cette sensibilit est ngative ce qui traduit le fait quen augmentant la conductivit thermique du milieu ambiant, on diminue le dplacement. En effet, en augmentant ce paramtre, les changes par convection sont augments ce qui entrane une diminution de la temprature dans lactionneur et par consquence une diminution du dplacement.

5.4 Classement des paramtres en fonction de la sensibilit du modle


Tous les abaques qui viennent dtre prsents vont servir prsent classer les paramtres dentre du modle en fonction de la sensibilit du modle. Pour cela, nous avons choisi trois domaines de fonctionnement : domaine n1 pour lequel le courant appliqu est 2,5mA et le taux de variation du paramtre est -20%. On se situe donc dans un cas standard de fonctionnement ; domaine n2 pour lequel le courant appliqu est toujours de 2,5mA mais on prend un cas extrme de variation du paramtre, par exemple +50% ; domaine n3 qui a t choisi en fonction des cas extrmes observs sur les abaques, savoir un faible courant appliqu, 0,5mA, et un taux de variation de +50%. Les sensibilits obtenues dans ces trois domaines de fonctionnement sont donnes dans le Tableau 7. Les valeurs sont donnes en valeur absolue de manire pouvoir comparer directement les paramtres les uns aux autres.

78

rang

Paramtre ( S ) Domaine 1 (2.5mA / -20%)

Paramtre ( S ) Domaine 2 (2.5mA / +50%)

Paramtre ( S ) Domaine 3 (0.5mA / -50%)

1 2 3 4 5 6 7 8

wh (3,48) lh (2,33)
h (2,29)

lh (3,58) wh (1,23)

wh (15,31) w f (7,56)
h (3,64)

0 (0,08)
h (1,01)
TCE (1)

(1,28) 0 (1,06)
TCE (1)

lh (1,76)

(1,25)
k v (1,01)

(0,92)
k v (0,54) w f (0,39) t v (0,31) k p (0,3) g (0,24) wc (0,13)

k v (0,86) t v (0,48) w f (0,45) k p (0,37) wc (0,24)

0 (1,001)
TCE (1)

tel-00011349, version 1 - 11 Jan 2006

9 10 11 12 13 14 15 16

t v (0,57) wc (0,41) k p (0,39) g (0,29) w j (0,14)

(0,08)
w j (0,06) g (0,58 10-4) TS (0,34 10-14) E (0)

(0,08)
w j (0,02) TS (0,14 10-14) E (0,55 10-15)

(0,003)
TS (0,11 10-13) E (0)

Tableau 7 : Classement des paramtres dentre en fonction de la valeur absolue de la sensibilit du modle
dans trois domaines de fonctionnement.

Grce ce tableau, on peut se rendre compte que la sensibilit du modle vis--vis des paramtres dentre varie normment avec les domaines de fonctionnement. On peut, en particulier, remarquer que la largeur du bras de flexion est trs peu influant dans le premier domaine en tant class la neuvime place alors que, dans le troisime domaine, ce mme paramtre a beaucoup plus dinfluence sur le fonctionnement de la structure, puisquil est class la deuxime place. Cette remarque est logique dans la mesure o le troisime domaine a t choisi comme un cas extrme pour ce paramtre. Pour tablir un classement des paramtres dentre du modle en fonction de leur influence sur le paramtre de sortie, nous allons regarder la valeur maximale atteinte par la sensibilit sur toute la surface des abaques prsents dans la partie prcdente.

79

On obtient alors le classement suivant :


16 14 12 sensibilit 10 8 6 4 2 0 wh wf h lh kv 0 TCE tv kp wc g wj E TS

tel-00011349, version 1 - 11 Jan 2006

Figure 74 : Classement des paramtres en fonction de leur influence sur la modlisation analytique.

5.5 Conclusion
Nous venons dtablir un modle analytique dvelopp en VHDL-AMS qui nous permet de prtendre tudier le comportement du MEMS considr dans son environnement lectronique de commande. Valid par comparaison avec des outils de rsolution par mthodes numriques, ce modle analytique nous offre la possibilit deffectuer une tude paramtrique exhaustive avec pour objectif de quantifier linfluence des diffrents paramtres (gomtriques, technologiques, architecturaux) sur le comportement du MEMS selon son mode dactuation. Ainsi, nous avons pu tablir un classement des paramtres dentre du modle suivant leur influence sur le dplacement. Des paramtres sont beaucoup plus influents que dautres et il faudra leur porter une attention particulire. Cette attention devra tre apporte au niveau de la conception des structures de tests mais aussi au moment de la recherche de la corrlation entre la modlisation et les donnes exprimentales. En effet, certains paramtres ne sont pas connus au moment de la conception et il nous appartiendra donc den faire une mesure (comme, par exemple, les dimensions de la structure qui sont affectes par le phnomne de la surgravure). De plus, ltude de linfluence des paramtres dentre sur le dplacement obtenu permet de donner quelques recommandations dans le but doptimiser le dplacement obtenu : Un rapport

lc

lh

de 0.8 ;

80

Un bras chaud le plus long possible (i.e. lh maximum). Mais il faut aussi tenir compte des risques de collage et de la diminution de la force exerce par lactionneur avec laugmentation de la longueur de lactionneur ; Un bras froid le plus large possible (i.e. wc maximum). Mais il faudra veiller ne pas trop augmenter le poids de lactionneur qui pourrait entraner le flchissement de lactionneur et par consquent un collage de celui-ci avec le substrat.

Un bras chaud le plus fin possible (i.e. wh minimum). Mais les largeurs sont limites par les rgles de dessin propres au procd technologique utilis quil faudra respecter ;

Un niveau structurel le fin possible (i.e. h minimum). Mais cette paisseur est impose par les procds technologiques ce qui ne laisse pas une grande gamme de manuvre sur ce paramtre.

tel-00011349, version 1 - 11 Jan 2006

Une valeur optimale du paramtre g , espacement entre les deux bras de lactionneur.

81

tel-00011349, version 1 - 11 Jan 2006

82

Chapitre 3

Conception et caractrisation des vhicules de test

1 Introduction
Pour valider le modle analytique qui a t dvelopp dans le chapitre prcdent, nous avons conu des vhicules de test qui vont faire lobjet de ce chapitre. Nous commencerons par la description des procds technologiques utiliss : PolyMUMPs de Memscap et Epi-SOI de TRONICS Microsystems. Ensuite, nous dtaillerons toutes les structures qui ont t fabriques dans les vhicules de test en traitant plus particulirement les actionneurs lectrothermiques. Ces derniers ont t conus partir de ltude de la sensibilit du modle tabli vis-vis des paramtres gomtriques, technologiques et environnementaux lis au fonctionnement de ces structures. Ensuite nous aborderons la caractrisation des actionneurs lectrothermiques. Nous dcrirons le banc de test, les protocoles de test, ainsi que le logiciel mis en place pour la dtermination du dplacement de lactionneur partir de photos. La comparaison entre les rsultats de ces tests de caractrisation et les simulations permettra de mettre en avant les problmes lis la connaissance des valeurs exactes des paramtres dentre du modle. Une fois que cet obstacle sera franchi grce des mesures, nous pourrons chercher valider le modle analytique.

tel-00011349, version 1 - 11 Jan 2006

2 Les technologies utilises


Comme cela a t dit dans lintroduction, nous avons eu accs deux procds technologiques qui sont polyMUMPs de Memscap et Epi-SOI de TRONICS Microsystems. Le choix sest orient sur des procds industrialiss qui assurent un certain degr de reproductibilit dans les structures obtenues dune puce lautre mais aussi dun run un autre. Ces procds technologiques sont appels multi-utilisateur ce qui permet de raliser des vhicules de test faible cot. Sur ce principe, plusieurs utilisateurs se partagent le cot de fabrication en rpartissant sur un mme wafer les structures conues par chaque utilisateur. Nous allons, dans cette partie, nous attacher dcrire ces procds afin de mieux comprendre ensuite le fonctionnement des diffrentes structures qui ont t conues.

1 La technologie polyMUMPS de Memscap


Dans cette partie va tre prsent le procd technologique polyMUMPs (Polysilicon MultiUser MEMS Processes) accessible en France au travers du CMP (Circuits Multi Projets) [CMP2005]. 83

Pour cela nous nous appuierons sur le design rules manual dit par Cronos puis par Memscap [PolyMUMPs2003]. Le Tableau 8 contient les caractristiques des niveaux matriels prsents dans le procd PolyMUMPs. On peut y dnombrer 7 niveaux matriels dont le substrat considr comme un support mcanique et isol lectriquement par une couche de nitrure, trois niveaux (poly0, poly1 et poly2) dits structurels qui constitueront le dispositif proprement dit et dont deux sont librs (poly1 et poly2), deux niveaux (1er oxyde et 2nd oxyde) dits sacrificiels qui seront donc enlevs en fin de procd par une attaque chimique, et enfin un niveau de mtal pouvant tre dpos sur le niveau poly2 pour rduire la rsistivit.
Couche Nature Epaisseur (m) Masques

Substrat Poly0 1er oxyde

Si [N ] + Si3N4 Silicium polycristallin SiO2 Silicium polycristallin SiO2 Silicium polycristallin Or

100m + 0,6m 0,5 2,0 2,0 0,75 1,5 0,1

-POLY0 DIMPLE ANCHOR POLY1 POLY1_POLY2_VIA ANCHOR2 POLY2 METAL

tel-00011349, version 1 - 11 Jan 2006

Poly1 2 oxyde Poly2 Mtal


nd

Tableau 8 : Description des niveaux matriels, de leurs paisseurs et des noms des masques de la lithographie
pour le procd technologique PolyMUMPs.

Comme le montre la Figure 75, toutes les couches ne sont pas planarisantes ce qui signifie que les reliefs dune couche modifient le profil des couches suprieures.

Figure 75 : Coupe transversale montrant les 7 niveaux du procd polyMUMPs. Aprs avoir numrs les diffrents niveaux matriels de ce procd technologique, nous pouvons prsent dcrire le droulement des tapes technologiques : Dfinition du substrat : le substrat est en silicium orient <1 0 0> de type N, possde une rsistivit de 1 2 .cm et une couche de Nitrure est dpose afin de lisoler 84

lectriquement des structures oprationnelles qui seront ralises dans les couches suprieures. Dpt et usinage de la couche Poly0 : Aprs avoir prpar le substrat, une premire couche de polysilicium, appele Poly0, est dpose par LPCVD (Low Pressure Chemical Vapor Deposition). Le masque POLY0 est utilis pour modeler cette couche par une tape de photolithographie classique. Dpt de la premire couche sacrificielle (1er oxyde), dfinition des bossages et des ancrages: Une couche de PSG (PhosphoSilicate Glass) est dpose par LPCVD suivi dun recuit. Elle correspond la premire couche sacrificielle qui sera te en fin de procd pour librer le premier niveau structurel (i.e. le Poly1). Le masque DIMPLES permet de modeler la couche 1er oxyde de manire crer des bossages infrieurs sur la couche Poly1. Ces bossages sont destins viter un contact complet entre le substrat et la couche structurelle dans le cas dun flchissement de cette dernire. La hauteur nominale de ces bossages est de 750 nm. De la mme manire, des ouvertures dans loxyde sont ralises suivant le masque ANCHOR1 afin dancrer la couche structurelle Poly1 la couche Poly0. Il y aura donc contact lectrique entre les deux couches ces endroits l. Dpt et usinage de la couche Poly1 : Tout comme la couche Poly0, la couche Poly1 est dpose par LPCVD. Un masque en PSG (plus rsistant que la rsine utilise dans les procds classiques de photolithographie) est obtenu partir du masque POLY1 pour modeler cette couche de polysilicium de manire conforme ce masque. Dfinition des ancrages et des zones de surpaississement : Une fois que la couche Poly1 a t usine, une couche de PSG est dpose et recuite pour former la deuxime couche sacrificielle. Cette couche sera usine suivant deux masques. Le premier, appel POLY1_POLY2_VIA, permet de crer des contacts mcaniques et lectriques entre le Poly1 et le Poly2. Cela permet dobtenir des couches surpaissies. Le second masque, appel ANCHOR2, permet de crer des ouvertures jusquau Poly0 pour ancrer la couche Poly2 au Poly0 au niveau de ces ouvertures. Dpt et gravure de la couche Poly2 : Tout comme les deux premires couches de polysilicium, la couche Poly2 est dpose par LPCVD. Lusinage de cette dernire couche structurelle se fait de la mme manire que pour la couche Poly1 par une couche de PSG la forme du masque POLY2. Dpt de la couche mtallique : La dernire couche du procd MUMPs est une couche mtallique qui permet la ralisation des pistes de conduction et des surfaces rflchissantes pour les miroirs. Cette couche est dpose suivant une technique appele lift-off qui ne ncessite pas de gravure. En effet, une couche de rsine photosensible est tout dabord dpose sur toute la surface du wafer. Cette couche est 85

tel-00011349, version 1 - 11 Jan 2006

ensuite modele avec le masque METAL. Les parois de la rsine prsentent un angle particulier qui autorise le dpt du mtal sur toute la surface des ouvertures mais qui amne une cassure de la continuit de la couche mtallique au niveau des marches. La rsine et le mtal non dsir (au-dessus de la rsine) sont ts dans un bain de solvant afin de retrouver seulement le mtal dans les zones voulues. Libration des structures : Cette tape nest pas automatiquement ralise par le fondeur. En effet, les puces peuvent tre envoyes non libres et protges par une couche de rsine. Aprs avoir enlev la rsine avec un solvant, les structures sont libres grce un bain contenant 49% dacide fluorhydrique. Les plaquettes sont ensuite rinces et sches. Dans lexemple de la fabrication dun moteur lectrostatique, on obtient alors la libration du rotor en poly1 autour du verrou fixe en poly2. Les empilements de poly1, poly2 et mtal visibles sur les cts reprsentent les stators utiliss pour entrainer lectrostatiquement le moteur.

tel-00011349, version 1 - 11 Jan 2006

Les masques prsents dans le procd polyMUMPs sont raliss en sappuyant sur des rgles de dessin. Ces dernires sont donnes par le fondeur afin dassurer le bon fonctionnement des structures. Elles fixent des limites (cf. Tableau 9 et Tableau 10 issus de [PolyMUMPs2003]) qui sont dues, dune part, aux diffrentes tapes du procd technologique et, dautre part, la rsolution et aux capacits dalignement du systme de lithographie. Ces rgles de dessin sont regroupes en trois catgories (cf. Figure 76) : Largeur minimale, l ; Recouvrement minimal, r ; Espacement minimal, e.

r l

Layer 1

e
Layer 2

Figure 76 : Trois catgories de rgles de dessin dans la conception des masques.


Largeur / espacement : Masques valeur nominale (m) valeur minimale (m) Largeur / espacement :

POLY1, POLY2, POLY1_POLY2_VIA

3,0

2,0

Tableau 9: Nom, largeurs et espacements minimaux et nominaux de chaque couche.

86

Niveau 1 / Niveau 2
POLY0 POLY1 POLY2 ANCHOR1 DIMPLES POLY1 P1_P2_VIA ANCHOR2 POLY2 METAL

4 4 4

4 4 4

5 4

Tableau 10: Recouvrement minimal pour chaque niveau (en m). Le fondeur fournit galement des conseils de conception (appels advice rules) guidant le designer sur les points critiques dans lutilisation des masques. Ceci souligne la difficult et le manque de maturit du procd. Ce genre de conseils ntait pas prsent dans la microlectronique.

tel-00011349, version 1 - 11 Jan 2006

En guise de conclusion sur cette prsentation, on peut dire que les particularits de ce procd technologique sont : Trois niveaux structurels dont deux librs ; Une largeur minimale de structure micro-usine de 2m ; Une mtallisation en or accessible sur toute la puce ; Une surface de 1 cm2 par puce.

2 La technologie Epi-SOI de TRONICS Microsystems


Dans cette partie, nous allons dcrire le procd technologique Epi-SOI propos en MultiProject Wafer (MPW) par le biais du service MEMSOI dEuropractice. Pour cela, nous nous appuierons sur le design rule manual dit par TRONICS Microsystems [Epi-SOI2004]. Le Tableau 11 contient les caractristiques des niveaux matriels prsents dans le procd EpiSOI. On peut y remarquer une couche de Nitrure utilis pour la passivation des contacts mtalliques, une couche sacrificielle de 0.4m dpaisseur, une couche structurelle en Silicium de 20m dpaisseur et enfin, une couche de mtal de 0.6m dpaisseur.
Couche Nature Epaisseur (m) Masques

Substrat Couches superficielles Nitrure Mtal Silicium pitaxi

Si Si [P+] + SiO2 Si3N4 Or Si [P ]


+

-0,2 + 0,4 0,5 0,6 20

-BULK NITRIDE METAL SILICON (HOLE1-HOLE2)

Tableau 11 : Description des niveaux matriels, de leur paisseur et des noms des masques de la lithographie
pour le procd technologique Epi-SOI.

87

Aprs avoir numr les diffrents niveaux matriels de ce procd technologique, nous pouvons dcrire la ralisation des microstructures avec ce procd en sappuyant sur la Figure 77 illustrant les diffrentes tapes technologiques.

Substrat SOI Gravure des niveaux superficiels en Si et SiO2

Epitaxie Implantation Passivation - Mtallisation

tel-00011349, version 1 - 11 Jan 2006

Gravure des microstructures

Libration des microstructures

Scellage du capot usin en silicium

Figure 77 : Etapes du procd technologique Epi-SOI. Deux parties spares sont ralises dans ce procd technologique, une pour la structure mobile et une autre pour le capot de protection. Ce dernier nest pas paramtrable car ses dimensions sont standards (le capot employ ici comporte 10 plots de contact). Pour raliser des microsystmes avec ce procd technologique, plusieurs tapes se succdent : Dfinition du substrat et ralisation des ouvertures des ancrages : Le substrat utilis est de type SOI (Silicon On Insolator). Ceci est visible la premire tape dans laquelle nous voyons deux couleurs diffrentes : une claire pour le silicium et une fonce pour loxyde sacrificiel.

88

Dpt de la couche structurelle et ralisation des plots de contact : Le dpt de la couche de silicium constituant la couche structurelle des systmes est ralis par pitaxie sur une paisseur de 20 m. Cette pitaxie est suivie dun dopage par implantation de type P. La ralisation des plots de contact se fait grce un dpt de nitrure et de mtal. Ces deux couches sont ensuite graves suivant les masques NITRIDE et METAL. Tout ceci nest pas modifiable car les plots de contact ont des dimensions et des positions fixes en fonction de la forme du capot de protection.

Gravure de la couche structurelle : La couche tant de grande paisseur, la mthode employe est une gravure sche profonde (i.e. la DRIE, Deep Reactive Ion Etching). Pour ce faire, une couche de rsine de masquage est dpose et ouverte par photolithographie (suivant le masque SILICON). La couche pitaxie est ensuite grave. Cette tape se termine par lenlvement de la rsine. Le masque SILICON caractrise les structures dsires sur la puce. Cest donc ce niveau de masque qui doit

tel-00011349, version 1 - 11 Jan 2006

tre dessin. Libration: Lattaque de loxyde sacrificiel est ralise chimiquement dans un bain dacide fluorhydrique. Cette tape se termine par un rinage et un schage qui permettent de rendre les microstructures oprationnelles. Encapsulation: Aprs avoir ralis le capot et la puce comportant les microstructures, ces deux parties peuvent tre colles grce une colle polymre. Enfin, il est ncessaire de prsenter les rgles de dessin propres ce procd technologique car elles conditionnent la ralisation des masques de fabrication. Comme pour le procd polyMUMPs, des rgles de dessin sont donnes par le fabricant. Elles sont galement de trois sortes (cf. Figure 76). Les valeurs de ces limites sont regroupes dans le Tableau 12 et le Tableau 13 issus de [Epi-SOI2004].
Largeur / espacement : Masques valeur nominale (m) valeur minimale (m) Largeur / espacement :

SILICON

2,5 / 2,2

2,1 / 1,8

Tableau 12 : Nom, largeurs et espacements minimaux et nominaux de chaque couche.

89

Niveau 1 / Niveau 2 SILICON / BULK SILICON / METAL

Valeur nominale (m) 5 10

Valeur minimale (m) 3 5

Tableau 13 : Recouvrement nominal et minimal pour le niveau SILICON. En guise de conclusion sur cette prsentation, on peut dire que les particularits de ce procd technologique sont : 20m dpaisseur pour la couche structurelle en silicium ; Une largeur minimale de structure micro-usine de 2m ; Un plot de contact permettant de polariser le substrat ; Un capot de protection tout en prservant des plots accessibles de lextrieur.

tel-00011349, version 1 - 11 Jan 2006

3 Les vhicules de test


Maintenant que les procds technologiques sont prsents, nous allons pouvoir dcrire les puces ralises et utilises dans le cadre de cette thse. Elles sont au nombre de trois que lon nommera par la suite Memscap2003, Memscap2004 et MPW2004. Dans cette partie, nous allons prsenter rapidement chacune de ses trois puces. Nous profiterons de ces descriptions pour dcouvrir des structures complexes incluant des actionneurs lectrothermiques mais aussi pour dnombrer les actionneurs lectrothermiques qui sont notre disposition pour faire les tudes exprimentales.

1 Le vhicule de test Memscap2003


Cette puce a une surface de 1cm2 et contient une grande diversit de structures (cf. Figure 78) : des moteurs lectrostatiques (27 exemplaires), des miroirs lectrostatiques (17 exemplaires et 2 matrices de miroirs), des acclromtres (2 exemplaires), des structures dtude de rsonance, des structures exprimentales mais aussi des actionneurs lectrothermiques en forme de U et de structures plus complexes base de ces mme actionneurs.

90

Figure 78 : Photo du vhicule de test Memscap2003 . Les actionneurs lectrothermiques sont, dans ce vhicule de test, en forme de U. On peut en

tel-00011349, version 1 - 11 Jan 2006

dnombrer 66 qui sont actionnables individuellement auxquels il faut ajouter 2 lots dactionneurs qui sont tous commands en parallle. Concernant les structures plus complexes base dactionneurs lectrothermiques, on peut citer une micro-pince, un micro-miroir et des structures de vieillissement. La micro-pince (cf. Figure 79) est constitue de deux armatures, chacun tant munie dune roue dente. Par le biais dune crmaillre et dune matrice dactionneurs lectrothermiques en forme de U, on peut mettre ces deux armatures en mouvement de rotation autour du centre des roues dentes. Les actionneurs lectrothermiques ont t disposs en matrice de manire additionner les forces exerces par chaque actionneur. Ainsi, sans modifier lamplitude du dplacement, la mise en matrice permet daugmenter la force exerce et par consquent rend possible le dplacement de pices plus lourdes [Comtois1996].

Figure 79 : Photo des masques dune micro-pince actionne par des matrices dactionneurs lectrothermiques
en forme de U.

91

Le miroir dessin dans cette puce est actionnable de deux manires. Dans un premier temps, on peut augmenter son inclinaison par rapport au substrat grce une matrice dactionneurs lectrothermique en forme de U (cf. Figure 80). Enfin, une lectrode colle au substrat permet dutiliser lactionnement lectrostatique pour remettre la plaque rflchissante une position dite de repos.

tel-00011349, version 1 - 11 Jan 2006

Figure 80 : Photo des layouts dun micro miroir orientable grce une matrice dactionneurs lectrothermiques
en forme de U.

Sur le mme principe, nous avons mis en place des structures de vieillissement base dactionneurs lectrothermiques en forme de U (cf. Figure 81). Pour cela, nous avons pris un pont suspendu qui peut tre mis en rsonance par le biais dune lectrode prsente sous le pont permettant un actionnement lectrostatique. La matrice dactionneurs lectrothermiques permet de venir pousser ou tirer sur ce pont mis en rsonance. Il sera alors possible de voir linfluence dun effort extrieur sur une structure mise en rsonance.

Figure 81 : Photos des layouts de deux structures de vieillissement contenant des actionneurs lectrothermique
en forme de U.

92

2 Le vhicule de test Memscap2004


Ce deuxime vhicule de test a t ralis dans le cadre du Rseau Thmatique Pluridisciplinaire (RTP) Fiabilit composants et packaging de lAction Spcifique (AS) du CNRS Fiabilit des microtechnologies et microsystmes [RTPfiabilit2005] . La puce a donc t partage (cf. Figure 82) entre lIXL de Bordeaux (pour un quart), lIEF de Paris (pour un quart) et le LAAS (pour la moiti). Pour les mmes commodits que le premier vhicule de test, cest le procd technologique PolyMUMPs qui a t utilis. Chaque partenaire a ralis des structures propres son domaine de recherche. Aussi, les structures de lIXL traitent de ltude des claquages lectriques et celles de lIEF traitent de la rsonance. La dernire moiti de la puce tait notre charge ce qui a permis lintgration de moteurs lectrostatiques wobble (8 exemplaires), de structures de calcul du stress interne dans les couches (4 exemplaires par niveau de polysilicium libr), de structures de calcul du module de Young (4 exemplaires) et enfin des actionneurs lectrothermiques.

tel-00011349, version 1 - 11 Jan 2006

Figure 82 : Photo du vhicule de test Memscap2004 . Les actionneurs lectrothermiques sont, dans ce vhicule de test, de deux types : les actionneurs en forme de U (une centaine dexemplaires) et les actionneurs en chevron (une cinquantaine dexemplaires) qui ont t prsents dans le premier chapitre. Concernant les structures plus complexes base dactionneurs lectrothermiques, on retrouve les structures de vieillissement. Nous avons galement ajout des structures permettant de dterminer la force exerce par lactionneur. Il sagit dajouter une poutre dont lextrmit libre peut tre mise en mouvement par contact avec lactionneur lectrothermique. La dflexion de cette poutre est alors une fonction de la force applique par lactionneur [Kolesar1999] (cf. dtails dans les annexes) :

93

Eh w F= 4 l
respectivement lpaisseur, la largeur, la longueur et la dflexion de la poutre.

(54)

o F est la force applique par lactionneur, E le module de Young, h, w, l et sont Ainsi, en mesurant la dflexion de la poutre place ct de lactionneur, la force exerce par lactionneur pourra tre dtermine.

tel-00011349, version 1 - 11 Jan 2006

Figure 83 : Layout des structures permettant de mesurer la force exerce par un actionneur lectrothermique en
forme de U ( gauche) et en chevron ( droite).

3 Le vhicule de test MPW2004


Le dernier vhicule de test a t ralis galement en 2004 avec le procd technologique MPW de chez TRONICS Microsystems. Il est rparti sur quatre puces (cf. Figure 84) contenant uniquement des actionneurs lectrothermiques.

94

Figure 84 : Photo des vhicules de test MPW2004 . Dans ces quatre puces, on peut dnombrer 28 actionneurs lectrothermiques en forme de U, 27

tel-00011349, version 1 - 11 Jan 2006

actionneurs lectrothermiques en chevron, 24 structures base dactionneurs lectrothermiques (mise en matrice ou encore calcul de force) et 29 actionneurs lectrothermiques exprimentaux (i.e. dont la forme a t invente dans loptique de vrifier leur fonctionnalit au cours des tests). Ce vhicule de test prsente lintrt dtre ralis avec un procd technologique diffrent. Ainsi, pour un mme encombrement de lactionneur, on pourra dire si une technologie est avantageuse par rapport une autre.

4 Nomination des actionneurs lectrothermiques


Afin de pouvoir dsigner plus facilement les actionneurs raliss dans les vhicules de test, nous avons mis en place une mthode pour les nommer. Les noms donns aux actionneurs lectrothermiques en forme de U (cf. Figure 85 pour se rappeler les diffrents paramtres de la structure) sont de la forme largeur du bras chaud _ espacement entre les deux bras _ longueur du bras de flexion _ longueur du bras froid {_ largeur du bras froid } {_ largeur de la jonction } _ niveau structurel .
ressort ancrages lh g wh wc lf lc bras chaud bras froid

Figure 85 : Vue schmatique de lactionneur lectrothermique en forme de U.

95

Ainsi, la structure 3_3_50_200_P1P2 correspond un actionneur dont les paramtres ont les valeurs suivantes : wh = 3m, g = 3m, l f = 50m et lc = 200m. Lorsque aucune autre annotation nest prsente, les autres paramtres sont par dfaut : w j = w f = wh et wc = 20m. Enfin, la mention P1P2 correspond une structure fabrique sur les niveaux Poly1 et Poly2 joints grce au masque POLY1_POLY2_VIA. Dans le cas de lutilisation des niveaux Poly1 et Poly2, on utilisera respectivement P1 et P2 .

5 Conclusion
Aprs avoir optimis les actionneurs lectrothermiques en forme de U grce une tude paramtrique et dtaill les procds technologiques utiliss, nous avons pu aborder dans cette partie la description des vhicules de test qui ont t conus et fabriqus dans le cadre de cette thse. Cela nous a donn un aperu du grand nombre dactionneurs lectrothermiques que nous avons notre disposition, aussi bien en forme de U quen chevron. Etant donn lampleur des tests qui sont ralisables sur toutes ces structures, nous avons t contraints de focaliser la caractrisation des vhicules de test sur deux points : La validation du modle analytique ; Ltude du vieillissement des structures.

tel-00011349, version 1 - 11 Jan 2006

Ce choix a t fait car lobjectif est la mise en place dun prototypage virtuel (ncessitant la validation du modle comportemental prsent dans le chapitre prcdent) pour ltude de la fiabilit des actionneurs lectrothermiques.

4 Les tests de caractrisation


Dans le but de valider ce modle comportemental, nous avons cherch caractriser les vhicules de test qui ont t prsents dans la partie prcdente. Il fallait donc pouvoir tester ces structures pour en dduire la variation du dplacement en fonction du courant appliqu. Pour cela, nous avons mis en place un banc de test qui sera dcrit dans cette partie. Dans un premier temps, une prsentation du matriel utilis sera donne avant de dcrire le programme de traitement dimages qui a t dvelopp sous Matlab pour dterminer le mouvement des structures. Tout cela permettra denchaner sur une partie traitant de la validation des modles analytiques prsents dans le Chapitre 2. Il faut mentionner que les tests de caractrisation des structures fabriques en Epi-SOI nont pas t raliss cause des niveaux de puissance exigs. En effet, les rsistances des structures ralises en Epi-SOI sont 100 fois plus leves ce qui oblige gnrer une tension 100 fois plus leve pour pouvoir obtenir un mme courant dactionnement. Nous avons donc fait le choix de reporter ultrieurement les tests de caractrisation de ces structures et de nous concentrer sur les structures ralises avec le procd technologique polyMUMPs. 96

1 Prsentation du banc de test


Afin de pouvoir tester toutes les structures dessines, nous avons fait le choix de lutilisation dun banc de test tabli partir dun testeur sous pointes. En effet, cette configuration de test permet de tester toutes les puces, du moment que des plots ont t dessins proximit de la structure. Le matriel utilis est un testeur sous pointes PM5 de chez Karl Suss [Suss2005], deux types de camra (analogique, DXC 151 P de chez Sony ; ou numrique, PL-A661 de chez PixeLINK / Vitana [pixeLINK2005]) relies un ordinateur. Le testeur sous pointe permet, comme cela est illustr dans la Figure 86, de poser des pointes sur les plots de la puce et dappliquer un signal dactionnement. Les camras permettent quant elles le visionnage du comportement de la structure.

tel-00011349, version 1 - 11 Jan 2006

Figure 86 : Vue gnrale du testeur sous pointe et observation dun chantillon avec les pointes de test. En supplment ce matriel, nous avons employ la carte dacquisition BNC 2110 de chez National Instrument [NI2005] qui permet dappliquer les signaux de commande partir de lordinateur mais aussi de mesurer des signaux pendant les tests. Ce nouveau matriel a permis lautomatisation du banc de test particulirement ncessaire pour les tests en vieillissement de la structure qui seront prsents dans le prochain chapitre. Avec tout ce matriel, on obtient un banc de test complet pour faire de la caractrisation des structures. Il pourrait se rsumer au schma donn dans la Figure 87.

97

tel-00011349, version 1 - 11 Jan 2006

Figure 87 : Reprsentation schmatique du banc de caractrisation des MEMS. On peut ainsi se rendre compte que lordinateur est au centre de ce banc de caractrisation. En effet, lutilisation du logiciel Labwindows CVI garantit deux fonctions : La commande de la carte NI qui permet non seulement dappliquer des signaux aux pointes de test mais aussi de contrler certains signaux (comme la tension aux bornes de lactionneur pour en dduire la rsistance de lactionneur) ; Le contrle de la camra numrique permettant donc lautomatisation de la capture des photos. Ce banc de caractrisation est polyvalent car il peut tre utilis pour tester une grande varit de microsystmes. Dans le cas des actionneurs lectrothermiques qui font lobjet de ce manuscrit, il a fallu mettre en place une source de courant. En effet, lactionnement doit tre ralis en courant de manire garantir que lon applique toujours le mme courant, quelle que soit la variation de la rsistance de lactionneur due llvation de la temprature. Pour cela, nous avons choisi le circuit miroir de courant (cf. Figure 88) qui permet de faire de lactionnement en statique, i.e. avec un courant constant, ou en dynamique, i.e. avec un courant ayant une forme variant avec le temps.

98

Figure 88 : Schma du circuit miroir de courant . Le montage miroir de courant est caractris par la prsence de deux courants identiques

tel-00011349, version 1 - 11 Jan 2006

au niveau des collecteurs des transistors bipolaires, on sait donc que I = I MEMS . Pour trouver lexpression du courant qui travers le MEMS (reprsent par une rsistance RMEMS ), on passe par le courant I qui peut tre exprim comme :

V1 = VBE + I .Rvariable

(55)

Sachant que VBE = 0.6 V , on peut en dduire une expression du courant traversant le MEMS indpendante de la valeur de la rsistance RMEMS :

I MEMS = I =

V1 VBE Rvariable

(56)

Ainsi, en jouant sur la valeur de Rvariable , il est possible dajuster le courant I MEMS quelle que soit la valeur de la rsistance du MEMS. Ce circuit rpond donc bien aux spcificits du test dun actionneur lectrothermique qui demande lapplication dun courant constant quelles que soient les variations de la rsistance du MEMS. En rsum, un courant est appliqu lactionneur par lintermdiaire de deux pointes de test et dun montage miroir de courant command en tension par un ordinateur grce une carte NI. Dans le but de capturer la dflexion de lactionneur pour chaque valeur du courant, une camra numrique est installe au-dessus du testeur sous pointes. Ce banc de test permet de caractriser les actionneurs lectrothermiques qui font lobjet de ce manuscrit. Aprs avoir prsent le matriel utilis, nous allons prsent dcrire la procdure des tests de caractrisation ce qui amnera prsenter le logiciel de traitement des images mis en place sous Matlab. 99

2 Le droulement des tests de caractrisation


Afin de caractriser les actionneurs lectrothermiques, il a fallu mettre en place une procdure de test permettant de toujours tester les structures dans les mmes conditions. Nous avons donc tabli plusieurs tapes franchir pour chaque test : 1. Vrification de llectronique pour sassurer que le courant dsir est bien appliqu entre les deux pointes de test ; 2. Positionnement de la puce sous le microscope optique avec allumage de la pompe aspirante et centrage de la puce sur la structure tester ; 3. Positionnement des pointes de test sur les plots de contact prsents proximit de la structure tester ; 4. Vrification du bon positionnement des pointes avec un ohmmtre en vrifiant quil ny a pas une rsistance infinie entre les pointes de test ; 5. Prise dune photo pour la position de lactionneur au repos ; 6. Application du signal de test ; 7. Prise dune photo pour la position de lactionneur pendant le mouvement ; 8. Relev de la tension aux bornes des pointes de test (pour pouvoir retrouver ensuite la rsistance de lactionneur). En rptant les tapes 6 8, on peut ainsi obtenir diffrents types de courbes comme la variation du dplacement de lactionneur en fonction du courant appliqu, ou encore la variation de la rsistance de lactionneur en fonction du courant appliqu. A travers lenregistrement dune srie de photos correspondant diffrentes valeurs de courant appliqu, on sera en mesure dtudier la validation du modle analytique prsent dans le Chapitre 2. Nous pourrons voir, dans le chapitre consacr la fiabilit, une autre procdure de test qui a permis dtudier le vieillissement des actionneurs lectrothermiques en forme de U. Mais dans tous les cas, le rsultat des tests effectus se trouve dans des photos quil faut analyser pour retrouver le dplacement de lactionneur. Pour connatre avec prcision ce dplacement, il a t ncessaire de mettre en place un programme de traitement dimages sous Matlab qui sera dcrit dans la prochaine partie avant daborder les rsultats de cette caractrisation de lactionneur lectrothermique en forme de U.

tel-00011349, version 1 - 11 Jan 2006

3 Logiciel de traitement des images prises pendant les tests


La caractrisation des actionneurs lectrothermiques passe donc par la prise de photo de la structure diffrents moments des tests. On obtient donc une srie de photos correspondant chaque valeur de courant applique. Il faut donc analyser ces photos pour pouvoir remonter au dplacement de lactionneur en fonction du courant appliqu. 100

Pour cela, nous avons mis en place un programme sous Matlab pour faire le traitement des images. Cette solution a t adopte dans le but dobtenir une meilleure prcision dans la dtermination de ce dplacement. En effet, avec un traitement classique la prcision ne pouvait pas tre infrieure 1m. Par contre, en utilisant Matlab, on peut dterminer le dplacement au moins au pixel prs. En ayant acquis une camra numrique, nous avions donc au minimum une prcision dun pixel qui correspond en gnral 0.3m. Dans certains cas, nous pouvons galement utiliser des fonctions spcifiques de Matlab qui nous permettent de diminuer cette prcision. Cette partie va donc traiter de la description du fonctionnement de ce programme de traitement des images qui se droule en plusieurs tapes :

3.1 Calcul de la taille du pixel


Les images sont enregistres par lot au cours dune mme srie de tests. Pour pouvoir traiter toutes les images en bloc, on insre toutes ces photos dans un fichier multipage. Ce type de fichier

tel-00011349, version 1 - 11 Jan 2006

contient plusieurs images auxquelles un indice est affect. On aura donc la photo de la position au repos lindice 1 et on pourra ensuite appeler, avec Matlab, les images suivantes par leur indice respectif. Une fois que cette opration est ralise (par exemple avec le logiciel Irfanview), on peut dbuter lutilisation du programme Matlab. Dans un premier temps, on cherche dterminer la taille du pixel. Pour cela, nous avons besoin de prendre appui sur une dimension qui prsente le plus de chance dtre respecte au cours de la fabrication. Nous avons donc choisi la largeur du bras froid car cest la plus grande longueur contenue dans les photos prises pendant les tests. Nous devons donc faire un zoom sur cette partie de lactionneur pour pouvoir la traiter (cf. Figure 89).

a/

b/

Figure 89 : a/ Photo optique prise pendant le test. b/ Zoom sur le bras froid de lactionneur lectrothermique en
forme de U pour la dtermination de la taille du pixel.

101

Une fois que le zoom sur le bras froid est ralis, on va chercher dterminer la largeur de ce bras en nombre de pixels. Pour cela, nous utilisons une fonction, improfile, prsente dans la bote outils Image Processing Toolbox de Matlab qui permet de tracer le profil de lintensit lumineuse sur une ligne de pixels. Les valeurs de ce profil peuvent aller de 0 (pour le noir) 255 (pour le blanc). Cependant, les valeurs de lintensit lumineuse ne vont pas par dfaut de 0 255. Pour augmenter ce contraste, on utilise une fonction de cette mme bote outils qui sappelle imadjust (cf. Figure 90).

tel-00011349, version 1 - 11 Jan 2006

Figure 90 : Zoom sur le bras froid avant et aprs ajustage du contraste de limage. Ainsi, avec ce traitement on est assur de couvrir toute la gamme des valeurs de lintensit lumineuse, i.e. entre 0 et 255. Ceci est particulirement visible sur la Figure 91 qui contient les histogrammes de lintensit lumineuse dans limage avant et aprs ajustage du contraste et obtenus avec la fonction imhist de la bote outils de Matlab mentionne ci-dessus.

Figure 91 : Influence de lajustage du contraste sur lhistogramme de lintensit lumineuse dans la photo prise
pendant les tests.

102

En effet, on peut remarquer quavant lajustage, la gamme des valeurs de lintensit lumineuse sont comprises dans lintervalle [78, 147]. Par contre, aprs lajustage du contraste de limage, toute la dynamique est utilise entranant une gamme de valeurs pour lintensit lumineuse de [0, 255]. Aprs avoir fait ce traitement dajustage du contraste, on peut tracer le profil de lintensit lumineuse sur une ligne perpendiculaire au bras froid. Pour diminuer lerreur sur le calcul de la largeur du bras froid en nombre de pixels, nous avons fait le choix de faire plusieurs profils successifs et de prendre la moyenne sur tous ces profils (cf. Figure 92).

tel-00011349, version 1 - 11 Jan 2006

Figure 92 : Profil de lintensit lumineuse sur le bras froid de lactionneur lectrothermique en forme de U pour
la dtermination de la taille du pixel.

Ce profil moyen permettra alors de dterminer avec prcision la largeur du bras en nombre de pixels. En effet, le plateau obtenu dans ce profil correspond au bras froid qui est plus lumineux que le substrat que lon a en fond dimage. On peut donc chercher la largeur de ce plateau mi-hauteur des fronts montants et descendants en nombres de pixels. Connaissant la taille dessine de la largeur du bras froid (20m), on peut alors en dduire la taille du pixel. Etant donn que le grossissement nest pas chang durant toute la srie de tests, on considre cette taille de pixel pour toute la srie. Dans le cas o le grossissement est chang, il faut refaire la dtermination de la taille du pixel.

3.2 Extraction de la pointe de lactionneur.


Par le mme principe du trac des profils de lintensit lumineuse, le dplacement pourra tre dduit des photos prises pendant les tests. Pour cela, il est ncessaire dextraire de la photo la pointe de lactionneur (cf. Figure 93).

103

Figure 93 : Extraction de la pointe de lactionneur lectrothermique en forme de U pour dterminer son


dplacement.

tel-00011349, version 1 - 11 Jan 2006

Nous faisons galement un ajustage du contraste dans cette extraction pour augmenter la dynamique des profils de lintensit lumineuse qui seront raliss pour dterminer le dplacement de lactionneur.

3.3 Traitement sur les valeurs de lintensit lumineuse de la pointe de lactionneur.


Afin de mieux visualiser la pointe dans les profils de lintensit lumineuse, nous avons cherch modifier la valeur des pixels pour augmenter le contraste au point de navoir que du blanc et noir. Ainsi en choisissant une valeur seuil, on a mis les pixels 0 (noir) ou 255 (blanc) suivant quils soient au-dessus ou au-dessous de cette valeur seuil. On obtient alors une pointe en blanc sur un fond noir (cf. Figure 94).

Figure 94 : Traitement des pixels pour obtenir une pointe de lactionneur en blanc sur un fond noir pour pouvoir
dterminer son dplacement.

104

Ce traitement a t ajout de manire obtenir des bords francs au niveau de la pointe. Si ce traitement nest pas ralis, il est possible davoir une erreur sur le profil cause, par exemple, dune ombre prsente sous lactionneur cause dun problme dalignement de la source lumineuse pendant le test.

3.4 Calcul du dplacement.


Une fois que la photo de la pointe est modifie, on peut tracer des profils de lintensit lumineuse en partant de la gauche de limage. En se dplaant ainsi vers la droite, on finit par rencontrer la pointe et par consquent rencontrer des pixels blancs. En se fixant une largeur limite du pic correspondant la pointe, on peut dterminer la position de lactionneur en recherchant la valeur du pixel correspondant au centre du pic obtenu (cf. Figure 95).

tel-00011349, version 1 - 11 Jan 2006

Figure 95 : Trac dun profil sur la pointe de lactionneur lectrothermique en forme de U pour connatre sa
position.

Dans le cas prsent, la position de lactionneur pour cette photo est au pixel 19. En faisant ce traitement pour toutes les autres photos de la srie, on peut en dduire le dplacement de la structure au cours du test. En effet, il suffit pour cela de comparer la position de la photo i la position obtenue pour la premire photo qui correspond la position dite au repos .

3.5 Trac du dplacement en fonction de lindice des photos.


Ainsi, en faisant ce traitement sur toutes les photos, on peut tracer le dplacement de la pointe en fonction de lindice de la photo (cf. Figure 96).

105

tel-00011349, version 1 - 11 Jan 2006

Figure 96 : Trac du dplacement de lactionneur lectrothermique en forme de U en fonction de lindice des


photos prises pendant les tests.

En ayant pris soin de noter la valeur du courant appliqu pour chaque photo, on peut en dduire le dplacement en fonction du courant appliqu. La prcision de la mesure sera de lordre de la taille du pixel. Ainsi plus il y aura de pixels dans les photos prises pendant les tests, plus les mesures seront prcises car la taille du pixel sera plus faible. On peut alors comparer cette courbe celle qui peut tre obtenue avec le modle analytique prsent dans le Chapitre 2.

Validation

du

modle

analytique

de

lactionneur

lectrothermique en forme de U
En utilisant les rsultats des tests de caractrisation, nous avons cherch valider le modle analytique prsent prcdemment. Dans cette partie, nous allons dcrire les diffrentes tapes que nous avons franchies avant de pouvoir valider le modle.

1 Modlisation avec les valeurs classiques des paramtres gomtriques et technologiques


Les tests de caractrisation permettent dobtenir la variation du dplacement et de la rsistance de lactionneur en fonction du courant appliqu. Cela permet donc de faire une comparaison directe avec les courbes obtenues dans le Chapitre 2 lors de la prsentation du modle analytique. Dans un premier temps, nous avons pris les dimensions dessines sur les masques de fabrication (cf. Tableau 14) et les valeurs fournies par le fondeur ou trouves dans la littrature pour les paramtres technologiques (cf. Tableau 15).

106

Paramtres Longueur du bras chaud, l h Longueur du bras froid, l c Longueur du ressort, l f Largeur du bras chaud, wh Largeur du bras froid, wc Largeur du ressort, w f Espacement entre les deux bras, g

Valeurs 300 m 240 m 60 m 3 m 20 m 3 m 3 m

Tableau 14 : Paramtres gomtriques de lactionneur considr.

tel-00011349, version 1 - 11 Jan 2006

Paramtres
Module de Young (en GPa), Coefficient de Poisson, .

Valeur E.
158 10

Rfrence
[polyMUMPS2005], [Rigo2004] [polyMUMPS2005], [Chen2002] [polyMUMPS2005]

0,22 0,1

Stress interne (en MPa) Poly1 (compression),

1 . 2 .

11,235 (run57) 7 (run63) 9,834 (run57) 15 (run63)

Poly2 (compression),

Rsistivit (en .m) Poly1,

[polyMUMPS2005]
2,07 10 (run57) 2,41 10-5 (run63) 3,19 10-5 (run57) 4,58 10-5 (run63) 2,8 0,2
-5

1 . 2 .

Poly2,

Coefficient dExpansion Thermique (en 10-6 K-1),

[Chen2002], [Chiao2000] [Chen2002], [Huang1999]

[Lai2004], [Chiao2000],

TCE .
Conductivit thermique du polysilicium (en W.m-1.K-1), 32 2

kp .
Conductivit thermique de lair (en W. m-1.K-1),

kv . kn .

0,026 2,25

[Huang1999] [Huang1999]

Conductivit thermique du Si3N4 (en W. m-1.K-1),

Tableau 15 : Paramtres technologiques de lactionneur considr.

107

En comparant les courbes du dplacement obtenues pendant les tests et lors de la simulation, on obtient ce qui est reprsent dans la Figure 97.

tel-00011349, version 1 - 11 Jan 2006

Figure 97 : Tests exprimentaux et rsultats de la simulation analytique sous Matlab avec les paramtres
gomtriques issus des masques de fabrication.

On peut alors sapercevoir quil existe une diffrence entre les donnes exprimentales et les rsultats des simulations. Pour en rechercher les raisons, nous nous sommes appuys sur ltude de la sensibilit du modle vis--vis des paramtres dentre. En effet, si la valeur utilise pour un paramtre dentre influent est errone, le paramtre de sortie, qui est le dplacement, sera lui aussi erron. On va donc commencer par vrifier que les paramtres utiliss dans la simulation sont bien en adquation avec les donnes exprimentales. Nous commencerons par vrifier les dimensions relles de lactionneur. En effet, nous avions vu, entre autres, que la largeur du bras chaud et du bras de flexion avaient beaucoup dinfluence sur le rsultat de la simulation. Ensuite, sur le plan des paramtres technologiques, nous avons vu que la rsistivit du niveau structurel, en loccurrence du polysilicium, , avait de limportance vis--vis du rsultat de la simulation. Nous chercherons donc ensuite dterminer ce paramtre partir des donnes exprimentales. Enfin, le coefficient dexpansion thermique, TCE , a lui aussi une grande influence sur les rsultats de la simulation du modle. Nous chercherons donc trouver sa valeur exacte plutt que de sappuyer sur les valeurs trouves dans la littrature.

108

2 Dtermination des dimensions relles des actionneurs et caractrisation de la surgravure


Avec la prise en compte des dimensions dessines dans les simulations, les rsultats obtenus taient trs loigns des donnes obtenues pendant les tests. Nous avons voqu en introduction que lorigine de cette diffrence pouvait provenir dune mauvaise connaissance des dimensions de la structure. Effectivement, en regardant la photo prsente dans la Figure 98 et prise pendant les tests, on peut remarquer que lespacement entre les bras chaud et froid est suprieur la largeur du bras chaud alors que sur les masques de fabrication, ces deux paramtres avaient la mme dimension.

tel-00011349, version 1 - 11 Jan 2006

Figure 98 : Visualisation de la diffrence entre la largeur du bras chaud et lespacement entre les deux bras. Nous avons donc cherch mesurer les dimensions de lactionneur. Il nest pas possible techniquement de connatre avec prcision les longueurs des bras. Par contre, nous nous sommes focaliss sur la largeur du bras chaud et sur lespacement entre les deux bras. Pour cela, nous avons utilis le logiciel de traitement des images qui a t mis en place sous Matlab et qui est utilis dans le banc de test pour dterminer le dplacement de lactionneur. Dans le cas de lactionneur que nous avions considr pour faire la comparaison entre les tests et les rsultats des simulations, nous avons trouv de grandes diffrences dans les dimensions caractristiques de cette structure (cf. Tableau 16). Paramtre Largeur du bras chaud, wh Valeur dessine 3 m Valeur mesure 1.46 m Erreur - 51%

Tableau 16 : Identification du non-respect des dimensions dessines. Le phnomne physique responsable de cette diffrence entre les dimensions dessines et les dimensions obtenues aprs fabrication est la surgravure. Pour obtenir un modle le plus proche

109

possible de la ralit, il nous appartient donc de caractriser ce phnomne pour linclure dans le modle analytique. Pour cela, nous avons men une tude statistique sur la valeur de la surgravure pour des largeurs qui taient dessines avec des valeurs proches des limites des rgles de dessin du fondeur. Nous aurions pu faire de mme pour les espacements mais le gap entre les deux bras de lactionneur a peu dinfluence sur les rsultats du modle. Nous nous sommes donc concentrs uniquement sur les largeurs. Grce cette tude statistique, nous avons pu en dduire une loi empirique permettant la prise en compte de la surgravure dans le modle analytique. Nous avons donc mis en place les schmas de la Figure 99 pour mieux se reprsenter ce quest la surgravure. Nous avons utilis un code de couleur qui est gris clair pour le substrat et gris fonc pour le niveau structurel.

tel-00011349, version 1 - 11 Jan 2006

w w

Figure 99 : Schmatisation de la surgravure sur les largeurs. Daprs ce schma, la largeur obtenue aprs fabrication est w = w 2 w o w est la largeur dessine et w est la surgravure en largeur. Pour rechercher la valeur de la surgravure w , nous avons mesur plusieurs dimensions et nous les avons compares ce qui avait t dessin. Pour chaque cas, nous avons exprim lerreur en pourcentage comme cela avait t fait prcdemment. En faisant une moyenne sur ces pourcentages, nous avons obtenir le Tableau 17 qui donne ces pourcentages moyens pour diffrentes valeurs de largeurs.

110

Valeur dessine 2 m 3 m 4 m 5 m 6 m

Valeur fabrique 0,9 m 2 m 3,3 m 4,4 m 5,3 m

Pourcentage erreur 55% 33% 17,5% 12% 11,7%

Tableau 17 : Pourcentage moyen derreur sur des largeurs. Ces rsultats peuvent aussi tre utiliss pour tracer le pourcentage derreur en fonction de la

tel-00011349, version 1 - 11 Jan 2006

largeur (cf. Figure 100).


6 Dimension fabrique (m) 5 4 3 2 1 0 2 2,5 3 3,5 4 4,5 5 5,5 6 Dimension dessine (m)

Figure 100 : Variation des largeurs obtenues en fonction des dimensions dessines pour les largeurs. A partir de cette courbe exprimentale obtenue sur un chantillon de mesures, nous avons pu tablir une expression empirique donnant la largeur fabrique en fonction de la largeur dessine lorsque celle-ci est comprise entre 2m et 6m :

w = 1.1197 w 1.1274
les masques de fabrication.

(57)

o w et w sont respectivement la largeur obtenue par fabrication et la largeur dessines sur La surgravure est un problme inhrent la technologie. La relation que nous venons de mettre en place dpend donc de la technologie utilise. Dans notre cas, il sagit du run57 du procd technologique polyMUMPs. En tenant compte de cette surgravure, nous obtenons par simulation les courbes donnes dans la Figure 101.

111

tel-00011349, version 1 - 11 Jan 2006

Figure 101 : Comparaison entre les tests et les simulations en prenant les dimensions mesures et les
paramtres technologiques trouvs dans la littrature.

Mme en ayant pris en compte les dimensions relles des paramtres gomtriques nous nobtenons pas une corrlation entre les tests et les rsultats des simulations. Cependant, nous avons vu en introduction que des paramtres technologiques taient aussi trs influents sur les rsultats de la simulation. Nous allons donc dans la suite chercher dterminer ces paramtres technologiques.

3 Dtermination de la rsistivit temprature ambiante et du coefficient de variation de la rsistivit avec la temprature


Pour dterminer la rsistivit du polysilicium et son coefficient de variation avec la temprature, cf. quation (11), il est possible dutiliser des ponts suspendus [Huang1999]. Cette mesure consiste obtenir exprimentalement la variation de la rsistance du pont en fonction du courant appliqu, ou la variation de la tension aux bornes du pont en fonction du courant appliqu. Une fois en possession de ces donnes exprimentales, il faut adapter le modle lectrothermique analytique et rechercher une corrlation entre les rsultats des simulations et les donnes exprimentales en faisant varier les valeurs des paramtres recherchs, savoir 0 et . Pour obtenir un plus grand nombre de valeurs exprimentales, et par consquent des valeurs plus fidles la ralit, nous avons choisi de mener cette investigation directement sur des actionneurs lectrothermiques en forme de U nayant pas subi de tests de vieillissement. En obtenant les donnes

112

exprimentales sur un grand nombre de structures, nous pourrons faire une moyenne afin dobtenir des valeurs plus proches de la ralit. Pour illustrer cette dmarche, nous allons prsenter le cas de la structure 3-3-40-260-P1P2 (cf. 95 pour lexplication de lappellation des structures). Dans un premier temps, nous avons considr les dimensions dessines ainsi que les valeurs trouves dans la littrature pour les deux paramtres que nous recherchons, savoir 0 = 2,44 10-5 m et = 1,25 10-3 K-1. Nous avons alors obtenu les courbes donnes dans la Figure 102.

tel-00011349, version 1 - 11 Jan 2006

Figure 102 : Variation de la rsistance de lactionneur en fonction du courant appliqu en prenant les
dimensions dessines et les valeurs trouves dans la littrature pour les paramtres technologiques.

Comme on pouvait sy attendre, il existe une grande diffrence entre les donnes exprimentales et le rsultat de la simulation. Nous avons donc rapidement tenu compte de la surgravure qui a t caractrise dans la partie prcdente. Nous avons alors obtenu les courbes donnes dans la Figure 103.

113

tel-00011349, version 1 - 11 Jan 2006

Figure 103 : Variation de la rsistance de lactionneur en fonction du courant appliqu en prenant les
dimensions mesures et les valeurs trouves dans la littrature pour les paramtres technologiques.

En observant les courbes obtenues, on peut raliser que la variation de la rsistivit avec la temprature nest pas correcte dans les simulations. En effet, lcart entre les deux courbes nest pas constant quel que soit le courant appliqu. Nous avons donc cherch modifier la valeur du paramtre , qui caractrise lvolution de la rsistivit avec la temprature, jusqu obtenir la corrlation entre les donnes exprimentales et les simulations (cf. Figure 104)

Figure 104 : Variation de la rsistance de lactionneur en fonction du courant appliqu en prenant les
dimensions mesures et recherchant les valeurs adquates pour les paramtres technologiques.

114

Les donnes trouves dans la littrature pour les paramtres qui nous intressent ici sont, rappelons le, 0 = 2,44 10-5 m et = 1,25 10-3 K-1. Pour obtenir cette corrlation entre les donnes exprimentales et les rsultats de la simulation, nous avons pris les valeurs suivantes pour ces mmes paramtres : 0 = 2,55 10-5 m et = 0,5 10-3 K-1. On peut donc voir que la valeur de la rsistivit est proche de celle donne dans la littrature. Par contre, pour le coefficient permettant de modliser lvolution de la rsistivit avec la temprature, nous avons un grand cart entre la valeur trouve ici et celle donne dans la littrature. Nous avons men cette investigation sur plusieurs structures afin de dterminer les valeurs de ces paramtres, 0 et , dans les trois niveaux structurels qui sont le Poly1, le Poly2 et le Poly1_Poly2_via (cf. Tableau 18). Niveau structurel

0 en .m
0,9 10-5 (2,07 10-5) 2,25 10-5 (3,19 10-5) 2,86 10-5 (2,44 10-5)

en K-1
0,7 10-3 (1,25 10-3) 0,75 10-3 (1,25 10-3) 0,5 10-3 (1,25 10-3)

tel-00011349, version 1 - 11 Jan 2006

Poly1 Poly2 Poly1_Poly2_via

Tableau 18 : Valeurs obtenues par mesure (et dans la littrature) pour 0 et dans les trois niveaux
structurels du procd technologique PolyMUMPs.

Ce tableau permet de mettre en avant les diffrences entre ce qui est donn par le fondeur ou trouv dans la littrature et les valeurs relles mesures sur la puce. Il est donc important dintgrer des structures permettant de mesurer ces paramtres et les ponts suspendus proposs dans [Huang1999] en sont un exemple. En prenant les valeurs mesures pour 0 et sur la structure pour laquelle nous cherchons la corrlation avec le modle, nous obtenons les courbes suivantes :

115

tel-00011349, version 1 - 11 Jan 2006

Figure 105 : Comparaison entre les tests et les simulations en prenant les dimensions mesures et une
optimisation des valeurs de

et

On peut alors vrifier une bonne corrlation au niveau de la variation de la rsistance avec le courant appliqu mais il persiste un cart entre les tests et la simulation au niveau de la variation du dplacement avec le courant. Cela signifie que les valeurs utilises pour dautres paramtres technologiques ne sont pas fidles la ralit.

4 Evaluation du TCE et prise en compte de la variation du TCE avec la temprature


Dans les courbes de la Figure 105, on peut observer que le dplacement obtenu avec la simulation est bien suprieur aux donnes exprimentales. Cet cart vient de la valeur choisie pour le coefficient dexpansion thermique, TCE . Or cette valeur est issue dune tude bibliographique et elle peut donc ne pas correspondre la valeur relle du procd technologique utilis. Nous avons donc cherch modifier cette valeur jusqu obtenir une meilleur corrlation. Aussi, avec 1,0 10-6 K-1, au lieu de 2,8 10-6 K-1 comme cela a t trouv dans la littrature, nous obtenons les courbes de la Figure 106.

116

tel-00011349, version 1 - 11 Jan 2006

Figure 106 : Comparaison entre les tests et les simulations en prenant les dimensions mesures, les valeurs
mesures pour

et

, et en modifiant la valeur du TCE .

On peut alors remarquer que ce changement de la valeur du TCE na aucune influence sur la corrlation prcdemment obtenue pour la variation de la rsistance avec le courant. Par contre, au niveau de la variation du dplacement en fonction du courant appliqu, lcart entre la simulation et les tests varie avec le courant. Le courant tant li la temprature dans lactionneur, cela traduit le fait que le TCE varie avec la temprature. Nous avons donc cherch ajouter une variation de ce paramtre avec la temprature dans lactionneur. Dans un premier temps, nous avons pris lquation suivante, en sappuyant sur ce que lon a considr pour la variation de la rsistivit avec la temprature :

TCE (T ) = TCE0 (1 + TCE (T T S ))

(58)

o TCE0 est la valeur du TCE la temprature ambiante, TCE est le coefficient de variation du TCE avec la temprature et TS est la temprature ambiante. En tenant compte de cette nouvelle quation et en faisant varier la valeur des paramtres TCE0 et TCE , on obtient la corrlation entre les tests et le modle visible dans la Figure suivante.

117

tel-00011349, version 1 - 11 Jan 2006

Figure 107 : Comparaison entre les tests et les simulations en prenant les dimensions mesures, les valeurs
mesures pour

et

, et en modifiant la valeur du TCE

avec la temprature.

En faisant cette investigation sur les structures que nous avons utilises pour dterminer les valeurs de 0 et , nous avons pu tablir le Tableau 19 contenant les valeurs des paramtres TCE et

TCE pour les trois niveaux structurels du procd technologique polyMUMPs.


Niveau structurel Poly1_Poly2_via

TCE en .m
1,00 10-6 (2,8 10-6)

TCE en K-1
1,8 10-3

Tableau 19 : Valeurs obtenues par mesure (et dans la littrature) pour TCE et TCE dans les trois niveaux
structurels du procd technologique PolyMUMPs.

On peut alors vrifier, dans le cas prsent, quen tenant compte des valeurs relles pour les dimensions et les paramtres 0 , , TCE et TCE , le modle corrle bien avec la ralit. Il apparat donc important de faire une caractrisation des procds technologiques pour connatre la surgravure et les valeurs relles des paramtres et TCE ainsi que leur variation avec la temprature.

118

Etude

sur

linfluence

de

la

pression

sur

le

fonctionnement des actionneurs lectrothermiques en forme de U


En collaboration avec luniversit de Heriot-Watt Edimbourg, nous avons pu mener des tests des actionneurs lectrothermiques en forme de U dans des chambres conues pour pouvoir faire varier la pression. Ainsi, nous avons pu raliser les tests de caractrisation sur des actionneurs lectrothermiques en forme de U et voir linfluence de la pression (cf. Figure 108).
14 12 Dplacement (m) 10 8 6 4 2 0 0,5 1 1,5 2 2,5 3 3,5 4 4,5 5 5,5 6 Courant (mA) 1 Bar 0.1 Bar 0.01 Bar 1 mBar 0.1 mBar

tel-00011349, version 1 - 11 Jan 2006

Figure 108 : Influence de la pression sur le fonctionnement dun actionneur lectrothermique en forme de U. On peut alors voir que pour la pression atmosphrique, 1 bar, lvolution du dplacement en fonction du courant est de la mme forme que ce que nous avons vu jusqu prsent. Par contre, lorsque la pression est diminue, on peut voir que la pente de variation du dplacement en fonction augmente. Mais il faut surtout remarquer que pour une mme valeur de courant appliqu la structure, la dflexion de lactionneur augmente lorsque lon diminue la pression. Pour une pression de 0.1 mbar, nous navons mme pas os appliquer un courant plus important de peur de dtriorer la structure. Donc, plus on tend vers le vide et plus lamplitude du mouvement de lactionneur est grande. On peut lier ce rsultat au fait que la conductivit thermique de lair varie avec la pression. En effet, la pression atmosphrique, lair conduit bien la chaleur et nous avons donc des pertes de chaleur par convection au niveau de lactionneur. Par contre, lorsque la pression diminue, lair devient de moins en moins conducteur thermique. Cela se traduit donc par une diminution des pertes de chaleur par

119

convection et par consquent par une lvation de la temprature dans lactionneur qui entrane une augmentation de lamplitude du dplacement. Pour pouvoir tenir compte de cette influence de la pression sur le fonctionnement de lactionneur, il faudra possder une quation liant la conductivit thermique de lair la pression du milieu.

7 Conclusion
Aprs avoir valid le modle analytique, il fallait vrifier sa reprsentativit. Des vhicules de tests ont donc t crs et fabriqus pour obtenir des actionneurs lectrothermiques en forme de U dans le procd technologique polyMUMPs. Une fois en possession de ces structures, nous avons mont un banc de test de caractrisation qui a t prsent dans ce chapitre. Cest partir dune source en courant commande par un ordinateur, dun testeur sous pointes et dune camra contrle par le mme ordinateur que lon a pu

tel-00011349, version 1 - 11 Jan 2006

ainsi caractriser les actionneurs lectrothermiques en forme de U et obtenir des courbes de variation du dplacement ou de la rsistance de la structure en fonction du courant appliqu. Ces tests ont permis de montrer, entre autres, que les dimensions dessines ntaient pas respectes au cours de la fabrication. Cela montre des problmes de surgravure au niveau du respect des largeurs trs faibles tout en restant dans les spcifications des rgles de dessin fournies par le fondeur. Il a donc fallu chercher mesurer les dimensions relles des actionneurs pour corriger ces paramtres gomtriques dans les modles afin de tenter damliorer la corrlation entre les modles et la ralit. Nous avons donc obtenu une relation empirique caractrisant cette surgravure dans le procd technologique polyMUMPs. Malgr lintgration de la prise en compte de cette surgravure, la corrlation ntait pas encore parfaite entre les donnes exprimentales et les rsultats des simulations. Nous avons donc men une investigation sur la mesure de quelques paramtres technologiques, tels que la rsistivit et le coefficient dexpansion thermique TCE . A lissue de ces mesures, nous avons pu obtenir la valeur de ces paramtres pour un des niveaux structurels du procd technologique polyMUMPs et vrifier la bonne corrlation entre les tests et la simulation. Enfin, une prsentation de linfluence de la pression sur le fonctionnement de lactionneur a t montre. La diminution de la pression entrane, pour un mme courant dactionnement et de part son influence sur la conduction thermique du milieu, une augmentation de lamplitude du mouvement de lactionneur.

120

Chapitre 5

Etude de la fiabilit des actionneurs

lectrothermiques en forme de U

1 Introduction
Durant les tests de caractrisation qui ont t prsents dans le chapitre prcdent, nous avons pu faire une premire approche des comportements dfaillants des actionneurs thermiques en forme de U. En effet, en appliquant un trop fort courant, les tempratures prsentes dans lactionneur sont trs leves et le polysilicium peut perdre ses proprits de plasticit. Cette limitation entrane lapparition de dfauts que nous commencerons par prsenter dans ce chapitre avec par exemple, le flambement du bras chaud. Ensuite, nous aborderons les tests de vieillissement mens sur les actionneurs lectrothermiques en forme de U. Nous commencerons par dcrire le protocole de test mis en place sur le banc prsent dans le chapitre prcdent. Ensuite, nous donnerons les rsultats de ces tests ce qui nous permettra de dcouvrir des moyens dallonger la dure de vie des actionneurs. En effet, nous pourrons voir quen travaillant dans certaines conditions (faible temprature, forme particulire de lactionnement,), les actionneurs lectrothermiques en forme de U peuvent tre trs fiables. Enfin, nous terminerons par la mise en place dun modle empirique du vieillissement de la structure. Ce dernier sera tabli partir des courbes de vieillissement obtenues et pourra alors tre intgr dans le modle analytique pour que ce dernier soit le plus complet possible et par consquent le plus proche de la ralit.

tel-00011349, version 1 - 11 Jan 2006

2 Particularits observes pendant les tests


Au cours des tests de caractrisation, nous avons observ des particularits qui vont faire lobjet de cette partie. On peut citer le back bending , ladhsion de lactionneur avec le substrat, ou encore le flambement du bras chaud.

1 Le back bending
La premire observation que nous avons faite pendant les tests est le back bending . Cest le nom anglais donn au phnomne suivant lequel lactionneur ne revient pas, dans certains cas, sa position de repos aprs avoir t actionn. Ce phnomne intervient lorsque lon applique un trop fort courant la structure. On peut alors identifier deux modes de fonctionnement de lactionneur lectrothermique en forme de U [Reid1998] : le mode nominal de fonctionnement et le mode banck-bent (cf. Figure 109).

121

Mode nominal

Mode back-bent Position de repos Position MEMS actionn

tel-00011349, version 1 - 11 Jan 2006

Figure 109 : Deux modes de fonctionnement dun actionneur lectrothermique en forme de U : le mode
nominal et le mode back-bent .

Dans le mode nominal, lactionneur lectrothermique fonctionne comme nous lavons toujours dcrit dans ce manuscrit. En appliquant un courant dans la structure, celle-ci se dilate et se dforme en rotation autour du bras froid. Le mode back-bent apparat lorsque le courant appliqu est assez lev pour entraner un changement de la forme du bras chaud. Aprs ce changement de forme, le bras chaud est plus court que sa longueur dorigine et lactionneur bouge alors vers une position ngative. Ce nouveau mode de fonctionnement peut toujours tre utilis comme un actionneur lectrothermique classique sauf que la position initiale a t dplace. En utilisant la structure permettant de dterminer la force exerce par lactionneur (prsente dans le chapitre prcdent), on peut montrer [Comtois1997] que les actionneurs en mode back-bent exercent des forces plus importantes que lorsquils fonctionnement dans le mode nominal. Le phnomne peut aussi apparatre aprs vieillissement de la structure.

122

Figure 110 : Variation de la position de repos aprs 160 000 cycles dactionnement. Dans lexemple donn dans la Figure 110 (correspondant la structure 3-3-60-240-P1P2), on

tel-00011349, version 1 - 11 Jan 2006

peut remarquer sur la photo de gauche, donnant la position de repos du premier cycle de fonctionnement, que lactionneur est align avec le trait de gauche du repre. Par contre, aprs 160 000 cycles dactionnement (cf. photo de droite), on peut voir que lactionneur est align, dans sa position de repos, avec le deuxime trait du repre. Cette variation de la position de repos peut donc tre vite en limitant la puissance injecte dans lactionneur. Quant lapparition de ce phnomne au cours du vieillissement, il sera intressant, dans les tudes ultrieures, de le caractriser comme un mcanisme de dfaillance.

2 Adhsion de lactionneur avec le substrat


Lactionneur lectrothermique est une structure suspendue qui est libre en fin de procd technologique par une gravure humide. Lors du schage de la gravure, des mnisques de liquide peuvent apparatre entre la structure et le substrat. En schant, ces mnisques attirent la structure vers le substrat et cela entrane une adhsion entre ces deux parties. Suivant la surface colle, le travail mcanique fourni par lactionneur permettra ou non de dcoller la structure. Dans le cas o la surface de collage est trop importante, le mouvement de lactionneur se rduira un mouvement du bras chaud perpendiculaire au substrat. Et lorsque le travail mcanique permet de compenser les forces dadhsion, des frottements entre la structure et le substrat seront prendre compte comme une charge parasite. Pour illustrer le second cas de figure, nous illustrons dans la Figure 111 une courbure progressive du bras chaud lors de laugmentation du courant dactionnement. Cette courbure aboutit un effet ressort qui entrane le mouvement de lactionneur.

123

Figure 111 : Photos prises pendant les tests montrant la courbure du bras chaud. La courbure du bras chaud (cf. Figure 111) est due lexpansion thermique qui exerce une force devant compenser les forces dadhsion. Pour librer lnergie fournie par lactionneur, cest le bras chaud qui se dforme jusqu ce que leffet ressort soit suffisant pour contrer les forces dadhsion et entraner le dplacement de lactionneur. Cette adhsion de lactionneur avec le substrat est donc un mcanisme de dfaillance de cette structure. Elle serait due [Conant1998] lhumidit ambiante durant les tests de fonctionnement. Il sera donc intressant, dans une prochaine tude, de sintresser linfluence de lhumidit sur le fonctionnement et le vieillissement des actionneurs thermiques. Mais ladhsion de lactionneur est aussi fonction de la nature de la couche prsente sous la structure. Nous verrons par la suite quen ajoutant une couche de poly0 sous lactionneur plutt que de laisser lactionneur directement en vis-vis avec la couche de nitrure, on peut allonger la dure de vie de la structure.

tel-00011349, version 1 - 11 Jan 2006

3 Flambement du bras chaud


Un autre comportement anormal observ durant les tests de caractrisation est le flambement du bras chaud. Ce comportement est induit par lapplication dun courant dactionnement trop important. La structure en tant chauffe subit des reconfigurations locales des grains de silicium. Le bras chaud garde alors progressivement une trace de la dformation et peut alors entraner une modification de la position dorigine (cf. effet du back bending ). Ce flambement a t observ avec un microscope lectronique balayage et un profilomtre optique. Ces visualisations sont donnes dans la Figure 112.

124

Figure 112 : Flambement du bras chaud observ avec un MEB ( gauche) et avec un profilomtre ( droite). On peut voir sur cette photo prise avec un microscope lectronique balayage, que le bras chaud a flamb et ce phnomne a entran une courbure de lactionneur vers le haut. A partir de ce moment, lactionneur naura plus un mouvement parallle au substrat mais perpendiculaire au substrat ce qui nest pas leffet dsir dans notre cas. Lorigine de ce flambement se trouve dans la temprature atteinte dans le bras chaud en fonction du courant appliqu. En utilisant un courant trop lev, la temprature dans le bras chaud atteint un maximum dpassant la temprature de transition (temprature partir de laquelle le matriau devient fragile) qui est de 660 C pour le silicium [Rybicki1998] . Il faut donc bien noter que ce phnomne ne dpend pas du nombre de cycles mais bien de la puissance applique lactionneur [Conant1998]. En observant la photo prise au microscope lectronique balayage, on peut se rendre compte que la dformation plastique a lieu la plus haute temprature et non aux contraintes internes les plus fortes.

tel-00011349, version 1 - 11 Jan 2006

Figure 113 : Rpartition de la temprature et du stress dans un actionneur en forme de U.

125

En effet, daprs les rsultats des modlisations aux lments finis (cf. Figure 113), on peut voir que les contraintes sont concentres entre le ressort et le bras froid alors que la temprature la plus leve est observe dans la portion centrale du bras chaud. Et cest bien cet endroit que la dformation plastique a lieu. Pour caractriser ce dfaut, on peut prendre comme hypothse que le stress en compression limite de flambement du bras chaud est le mme que celui pour une poutre encastre ses deux extrmits et qui sexprime comme [Hickey2002] :

crit

EI 2 = (0,5L) 2

(59)

o E est le module de Young, I est le moment dinertie et L est la longueur de la poutre considre. Pour pouvoir prdire lapparition du flambement, il faut alors comparer le stress dans lactionneur du llvation de la temprature et le comparer cette valeur critique. On peut alors

tel-00011349, version 1 - 11 Jan 2006

ajouter dans le modle VHDL-AMS, un composant appel flambement du bras chaud ? qui peut tre reprsent par :

Paramtres gomtriques Calcul du stress interne Paramtres technologiques


Composant : Flambement du bras chaud ?

> crit ?

dfaillance oui / non

Figure 114 : Reprsentation schmatique du composant flambement du bras chaud ? . Le stress interne dans le bras chaud peut sexprimer comme [Hickey2002] :

+ 8a 3 12a 2 + 8a + 2 AEITnet = 2 5a 4 I + a 4 2 S 2a 3 I + 5aI + 2 aS + I + a 5 I 2a 2 I


4

(2a

(60)

o a = l f l h , S = wh h est la section du bras chaud, E est le module de Young, I est le moment dinertie du bras chaud, est le coefficient dexpansion thermique, est la rsistivit du polysilicium et T est la diffrence de temprature qui devrait tre applique au bras chaud pour obtenir une mme expansion thermique en ngligeant les expansions des deux autres bras de lactionneur.

126

3 Les tests de vieillissement


1 Le protocole de test et dfinition du critre de vieillissement
Aprs avoir fait des tests en statique (i.e. seulement en augmentant progressivement le courant et en trouvant le dplacement pour les diffrentes valeurs du courant appliqu), on peut valuer le courant appliquer pour avoir un fonctionnement nominal de lactionneur. Il sera ni trop lev pour ne pas faire apparatre prmaturment des phnomnes de fatigue mais aussi ni trop faible pour obtenir un dplacement suffisamment grand pour tre observable. Une fois cette valeur nominale du courant connue, on peut envisager de faire des tests en dynamique en appliquant un signal priodique pour voir la fatigue de la structure en fonction du nombre de cycles raliss. La frquence de ce signal priodique sera limite par deux contraintes. La principale limite pour la frquence de ce signal dactionnement est le temps de refroidissement de la structure. Pour garantir que lactionneur aura le temps de refroidir entre deux actionnements, la frquence devra tre infrieure 600 Hz [Conant1998] . La deuxime limite est lie la mthode utilise pour mesurer le dplacement. En effet, pour tre en mesure de possder une photo pour ltat haut (i.e. ltat actionn) et pour ltat bas (i.e. ltat au repos), il ne faut pas que la frquence soit trop leve. En considrant quune camra peut prendre 50 images par seconde et que lon souhaite avoir au moins quatre images par cycle de fonctionnement (ce qui correspond deux photos pour ltat haut et deux autres pour ltat bas), la frquence du signal dactionnement est limite 12,5 Hz. Cette deuxime limite est plus contraignante lorsque nous utilisons un signal autre que carr car il faut sassurer que lon puisse avoir une photo pour le maximum du dplacement. Nous avons donc choisi de prendre des signaux de frquence basse, savoir 1 ou 2 Hz. Cela nous assurait davoir suffisamment dimages pour pouvoir dterminer correctement le dplacement de la structure. Au cours de ces tests de vieillissement (i.e. en dynamique), nous avons donc relev le dplacement et la rsistance de lactionneur intervalles rguliers au cours du vieillissement pour pouvoir observer leur volution. Un exemple de courbe de vieillissement est donn dans la Figure 115, il correspond au test de la structure 3-3-60-240-P1P2.

tel-00011349, version 1 - 11 Jan 2006

127

9 8 7 6 5 4 3 2 1 0 1 10 100 1000 10000 nombre de cycles 50 000

dplacement en m

-30%

100000

1000000

Figure 115 : Exemple de courbe de vieillissement dite en baignoire . En observant cette courbe de variation de lamplitude du mouvement de lactionneur en fonction du nombre de cycles effectus, on peut se rendre compte que la dgradation se fait progressivement partir dune valeur constante et gale 6m et sacclre au-del de 20 000 cycles. Il faut donc se fixer un seuil partir duquel on estimera que la structure est dfaillante. Daprs [Conant1998], le seuil de dfaillance est choisi comme tant le moment o la dflexion de lactionneur devient infrieur 80% du dplacement initial. Dans notre cas, nous avons choisi comme seuil une diminution de 30% du dplacement par rapport sa valeur initiale. Ce seuil a t choisi par analogie avec lattnuation la frquence de coupure pour un systme dordre 1 que nous utiliserons dans la suite pour trouver un modle empirique du vieillissement de lactionneur. Dans lexemple ci-dessus, la structure devient donc dfaillante au-del denviron 50 000 cycles de fonctionnement. On peut alors dire que sa dure de vie est denviron 14 heures (car la frquence du signal appliqu est 1Hz).

tel-00011349, version 1 - 11 Jan 2006

2 Les influences du vieillissement sur la structure et son comportement


La prsentation dun premier exemple de courbe de vieillissement nous a permis de voir que le dplacement maximal de la structure au cours du cycle dactionnement diminue brusquement au bout dun certain temps. Nous avons alors voulu voir comment la valeur maximale du dplacement tait atteinte. Nous avons donc trac la variation du dplacement au cours dun cycle en fonction du courant et ce, trois endroits diffrents du vieillissement : Durant le premier cycle qui permet de voir cette variation avant le vieillissement ; Durant le cycle n29 880 qui correspond au moment o lactionneur commence vieillir. En effet, nous avons vu dans le prcdent paragraphe que cet actionneur avait une dure de vie denviron 50 000 cycles ; Durant le cycle n86 400 qui est donc au-del de la dure de vie de lactionneur. 128

Ces trois courbes sont visibles dans la Figure 116.


cycle n1 9 8 7 6 5 4 3 2 1 0 0 0,5 1 1,5 courant en mA 2 2,5 3 cycle n29880 cycle n 86400

dplacement en m

Figure 116 : Variation du ( I ) au cours du vieillissement. Ces courbes permettent de mettre en vidence que la variation du dplacement au cours dun

tel-00011349, version 1 - 11 Jan 2006

cycle de fonctionnement est trs dpendante du vieillissement de la structure. En effet, lors du premier cycle, on peut se rendre compte que le mouvement de lactionneur dmarre trs tt dans laugmentation du courant. Par contre, plus la structure vieillit, plus lactionneur dmarre tard . Ce phnomne laisse penser que la structure subit une dformation entranant une variation des paramtres gomtriques de la structure et par consquent (cf. tude de la sensibilit de lactionneur vis--vis des paramtres gomtriques) une variation de son comportement.

3 Prsentation des rsultats


A prsent, nous allons prsenter plus en dtail les rsultats des tests de vieillissement que nous avons mens. Ils nous ont permis de rpondre diffrentes questions qui sont prsentes dans la suite.

3.1 Le vieillissement est-il dpendant de la forme du signal appliqu ?


Ayant plusieurs actionneurs identiques sur une mme puce, nous avons pu mener une tude de linfluence de la forme du signal dactionnement sur le vieillissement de la structure. En effet, en prenant des structures identiques sur une mme puce, nous pouvons tre assurs que les paramtres gomtriques et technologiques des deux structures sont identiques. Nous avons donc fait vieillir le premier exemplaire de la structure avec un signal de type carr et le deuxime exemplaire avec un signal de type rampe (cf. Figure 117), tout en gardant une amplitude identique dans les deux cas.

129

Figure 117 : Formes carr et rampe du signal priodique utilis pour raliser les tests de vieillissement. Nous obtenons alors les courbes de vieillissement donnes dans la Figure 118.
signal rampe signal carr

tel-00011349, version 1 - 11 Jan 2006

14 12 10 8 6 4 2 0 1 100 10000 1000000 100000000 nombre de cycles

Figure 118 : Courbes de vieillissement permettant dobserver linfluence de la forme du signal dactionnement
pour une frquence de 2Hz.

En comparant les courbes que nous avons obtenues, on peut voir que lactionneur auquel nous avions appliqu un signal rampe a une dure de vie infrieur celle de lactionneur auquel nous avions appliqu le signal carr . Pourtant, nous appliquons la mme nergie dans les deux cas. Nous pensons donc que cette diffrence provient du fait quavec un signal carr , lactionneur a un temps de repos entre chaque actionnement ce qui nest pas le cas avec le signal rampe . On peut donc conclure sur le fait quil est important davoir un temps de repos entre deux actionnements pour allonger la dure de vie de lactionneur.

dplacement en m

130

3.2 Le vieillissement dpend-il de lpaisseur du niveau structurel choisi pour fabriquer la structure ?
A travers tous les vhicules de test que nous avons raliss, nous avons pu tester des structures qui avaient les mmes paramtres gomtriques mais qui ntaient pas ralises dans le mme niveau structurel. Ainsi nous avons test la structure 3-3-50-200 dans le niveau structurel poly1 du procd technologique polyMUMPs mais aussi dans le niveau structurel poly1_poly2_via de ce mme procd.
P1 14 dplacement en m 12 10 8 6 4 2 0 1 10 100 1000 10000 100000 1000000 1E+07 nombre de cycles P1P2

tel-00011349, version 1 - 11 Jan 2006

Figure 119 : Courbes de vieillissement permettant dobserver linfluence du niveau structurel choisi. Les courbes obtenues permettent de faire deux remarques. Tout dabord le niveau structurel a une influence sur lamplitude du dplacement obtenue avec un mme niveau dactionnement. En effet, pour un mme courant appliqu, on obtient un peu plus de 12 m avec la structure en poly1 contre 6m avec la structure ralise dans les niveaux structurels poly1 et poly2 colls par le masque P1_P2_via. Lutilisation du niveau poly1 permet donc dobtenir un dplacement deux fois plus grand quavec le niveau poly1_poly2_via. Ensuite, nous pouvons remarquer que les vieillissements ne sont pas identiques avec ces deux structures. Mme si elles possdent des paramtres gomtriques identiques, la structure ralise dans le niveau poly1 vieillit plus tard que la mme structure ralise dans le niveau poly1_poly2_via. Ainsi, le poly1 est un niveau intressant non seulement pour lamplitude du mouvement obtenue mais aussi pour la dure de vie obtenue. Cependant, il faut aussi noter que les structures ralises dans le niveau structurel poly1 sont aussi trs sujettes au dfaut de collage de la structure avec le substrat.

131

3.3 Le vieillissement dpend-il des paramtres gomtriques de la structure ?


A travers tous les tests de vieillissement raliss sur les vhicules prsents dans ce manuel, nous avons pu tablir le Tableau 20 dans lequel nous avons not la dure de vie de quelques structures testes dans des conditions identiques, savoir la mme forme du signal dactionnement et la mme amplitude. Nom de la structure 2-3-40-200-P1 3-3-50-200-P1 3-3-60-240-P1P2 (memscap2003) 3-3-60-240-P1P2 (memscap2004) 3-3-60-240-P1P2 avec poly0 (memscap2004) Dure de vie 46 000 cycles 38 000 cycles 50 000 cycles 15 000 cycles 115 000 cycles

Tableau 20 : Exemples de dures de vie pour diffrentes structures.

tel-00011349, version 1 - 11 Jan 2006

Nous pouvons raliser, travers ce tableau, que les paramtres gomtriques de la structure ont de linfluence sur le vieillissement de celle-ci. Ainsi, pour pouvoir rpondre un cahier des charges prcis, il faudra optimiser les dimensions de la structure.

4 Conseils de conception pour allonger la dure de vie de lactionneur


Nous allons prsent voir comment concevoir les actionneurs lectrothermiques pour allonger leur dure de vie.

4.1 Influence de la prsence dune couche de poly0 sous lactionneur


Dans les vhicules de test, nous avions dessin des structures identiques, i.e. des actionneurs ayant les mmes proprits gomtriques la diffrence prs quun actionneur est en regard avec une couche de poly0 alors que lautre donne directement sur le substrat (cf. Figure 120).

132

(a)

(b)

tel-00011349, version 1 - 11 Jan 2006

Figure 120 : Photo optique dun actionneur lectrothermique en vis--vis avec le substrat (a) ou avec une
couche de poly0 (b).

En effet, les problmes dadhsion de lactionneur dpendent dune part de lhumidit dans le milieu ambiant mais aussi de la composition de la couche infrieure [Conant1998]. Nous avons donc ralis un test de vieillissement sur ces deux structures en utilisant le mme signal (cf. Figure 121) pour identifier leffet de la prsence du poly0 sur le vieillissement de lactionneur.
avec poly0 8 7
dplacement en m

sans poly0

6 5 4 3 2 1 0 0 20000
18 000

40000

60000
nombre de cycles

80000

100000

120000

110 000

Figure 121 : Influence de la prsence du poly0 sous lactionneur. Ces courbes permettent de voir que la prsence de la couche de poly0 sous lactionneur permet dallonger la dure de vie de celui-ci. En effet, sans la couche de poly0, la dure de vie de lactionneur est de 18 000 cycles alors quen prsence de cette couche, la dure de vie passe 110 000 cycles.

133

On pourrait donc conclure quen ajoutant une couche de poly0 sous lactionneur, la dure de vie peut tre augmente de prs de 6000%. Cependant, il faut aussi remarquer que la prsence de la couche de poly0 entrane galement une diminution du dplacement dans le fonctionnement nominal. Il faudra alors trouver un compromis entre le dplacement dsir en fonction du vieillissement attendu.

4.2 Influence de la rsistance vide de lactionneur


En ayant dessin des structures identiques dans les diffrents vhicules de test, nous avons pu obtenir des structures identiques mais nayant pas la mme rsistance vide. Cette diffrence provient de la variation de la rsistivit dun wafer un autre. Ainsi, tout en ayant les mmes dimensions gomtriques, les structures nont pas la mme rsistance vide. Nous avons donc fait les tests de vieillissement sur ces structures pour voir si cette rsistance vide avait une influence sur le vieillissement (cf. Figure 122).

tel-00011349, version 1 - 11 Jan 2006

memscap2003 (2600 Ohms) 9 8 7 6 5 4 3 2 1 0 0 10000 20000 30000 40000 50000

memscap2004 (1037 Ohms)

dplacement en m

60000

70000

80000

90000

100000

18 000

nombre de cycles 57 000

Figure 122 : Influence de la rsistance vide de lactionneur. Ces deux courbes mettent en vidence linfluence de cette rsistance vie. En effet, la structure issue du run memscap2003 qui a une rsistance vide de 2,6 k a une dure de vie denviron 57 000 cycles alors que la structure issue du run memscap2004 ayant une rsistance vide de 1,037 k na une dure de vie que denviron 18 000 cycles. Les diffrences des rsistances vide de ces deux structures ayant les mmes proprits gomtriques viennent du changement de la rsistivit des niveaux de polysilicium dun wafer un autre (cf. Tableau 21).

134

Memscap2003 Poly1 Poly2 2,41 10-5 4,58 10-5

Memscap2004 2,07 10-5 3,19 10-5

Tableau 21 : Rsistivit (en .m) des niveaux de polysilicium pour les vhicules de test memscap2003 et
memscap2004.

On pourrait donc conclure que la rsistance vide de lactionneur a une influence sur son vieillissement. Pour obtenir un vieillissement plus lent, il est prfrable de raliser des actionneurs dont la rsistance vide est la plus faible possible. Cependant, il faut aussi remarquer que la diffrence de rsistivit entrane une variation dans le dplacement obtenu. En effet, en appliquant un mme courant deux structures de rsistivit diffrente, celle qui aura la rsistivit la plus faible aura, certes une dure de vie plus longue, mais galement un dplacement plus faible. Il faudra alors trouver un compromis entre le dplacement dsir en fonction du vieillissement attendu.

tel-00011349, version 1 - 11 Jan 2006

4 Modle empirique du vieillissement


Les mcanismes de dfaillance mis en jeu tant difficilement modlisables dans le cadre de ce doctorat, nous avons fait choisi de trouver un modle empirique du vieillissement des actionneurs pour complter le prototype virtuel. Pour trouver une expression donnant la variation du dplacement en fonction du nombre de cycles de fonctionnement, nous avons pris les courbes de vieillissement de lactionneur obtenues exprimentalement et nous avons cherch comparer ces courbes avec des rsultats connus en lectronique. Nous avons alors remarqu que ces courbes de vieillissement avaient la mme forme que le trac du module de la fonction de transfert dun filtre passe-bas dans un diagramme de Bode. Ces courbes pourraient donc sexprimer suivant lquation suivant donnant le dplacement en fonction du nombre de cycles de fonctionnement n :

( n) =

0
n 1+ n c

(61)

o 0 est le dplacement optimal (i.e. avant vieillissement de la structure), nc la dure de vie en nombre de cycles et un coefficient li la pente de la dcroissance du dplacement lors du vieillissement. Une fois que lon a tabli cette quation pour modliser le vieillissement des structures, nous avons cherch optimiser les paramtres nc et jusqu obtenir la corrlation entre les points exprimentaux et le trac de lquation ci-dessus. 135

On a pu ainsi obtenir les rsultats suivants : Nom de la structure 3-3-60-240-P1P2 sans poly0 memscap2003 3-3-60-240-P1P2 avec poly0 memscap2004 3-3-60-240-P1P2 sans poly0 memscap2004 3-3-50-200-P1P2 sans poly0 memscap2004

nc
53 000 100 000 18 000 86 000

2,7 13 5 3,3

Tableau 22 : Valeurs des coefficients du modle empirique pour les diffrentes structures ayant subit un test de
vieillissement.

Des tudes ultrieures permettront de dvelopper ce modle en exprimant les diffrents coefficients partir des paramtres gomtriques et technologiques de ce type dactionneur.

5 Signature lectrique du vieillissement de lactionneur


tel-00011349, version 1 - 11 Jan 2006

lectrothermique en forme de U ?
Nous avons vu prcdemment que le vieillissement de lactionneur lectrothermique en forme de U tait caractris par une diminution de plus de 30% de son dplacement maximum. Pour effectuer cette tude, nous avons donc besoin dun moyen de visualisation pour dterminer le dplacement de la structure au cours du temps. Cette contrainte est peu abordable pour des structures dont il faudrait vrifier la fiabilit tout instant. Nous avons donc cherch trouver un paramtre lectrique qui aurait la mme signature que le dplacement. En effet, un paramtre lectrique peut tre plus aisment surveill par rapport ltude du mouvement de la structure qui demande un quipement lourd. Avec un actionneur lectrothermique, le paramtre lectrique directement observable est la rsistance de celui-ci. Nous avons donc cherch observer les variations de la rsistance de lactionneur au cours dun cycle de fonctionnement mais aussi au cours du vieillissement. Dans un premier temps, nous avons pu vrifier que la rsistance varie avec le courant appliqu, comme cela a t vu lors de la modlisation de lactionneur et dans sa caractrisation. Ainsi, nous avions le choix entre plusieurs paramtres lis la rsistance. En effet, nous aurions pu regarder la rsistance minimale dans un cycle, ou bien la rsistance maximale dans un cycle ou encore la rsistance moyenne dans un cycle de fonctionnement. En comparant la variation de ces trois paramtres au cours du vieillissement avec la variation du dplacement, nous avons pu voir que la rsistance maximale au cours du cycle de fonctionnement possde la mme variation que le dplacement. Ceci est visible dans la Figure 123 obtenue avec la structure 3-3-50-200-P1.

136

Rsistance maximale 4500 4000 3500 3000 2500 2000 1500 1000 500 0 0

Dplacement 14 12 10 8 6 4 2 dplacement (en m)

rsistance maximale (en Ohm)

0 200000 400000 600000 800000 1E+06 1E+06 1E+06 nombre de cycles

Figure 123 : Evolution de Rmax en fonction du nombre de cycles de fonctionnement et comparaison avec
lvolution du dplacement.

tel-00011349, version 1 - 11 Jan 2006

En superposant les courbes de variation du dplacement et de la rsistance maximale, on peut observer quelles ont toutes les deux la mme allure. Ainsi, la rsistance maximale dans un cycle de fonctionnement a non seulement la mme signature que le dplacement mais elle permet aussi de prvoir le vieillissement de la structure. En effet, en relevant la rsistance maximale au cours des cycles de fonctionnement et en observant sa variation, on peut prvoir trs rapidement le vieillissement de la structure.

6 Conclusion
Aprs une prsentation des dfauts observs sur les actionneurs lectrothermiques en forme de U pendant leur caractrisation, nous avons pu dcrire les tests de vieillissement qui ont t ensuite raliss. Ces tests ont t utiliss pour lister des recommandations permettant laugmentation de la dure de vie dun actionneur : - prsence dun temps de relaxation entre deux cycles de fonctionnement ; - ajout dune couche de poly0 sous lactionneur au lieu dtre directement en vis--vis avec le substrat ; - minimiser la rsistivit de la couche structurelle du procd technologique utilis ; - minimiser lpaisseur de la couche structurelle. Ces constatations seront utiles dans le design des prochaines structures en tant que rgles de dessin pour obtenir des actionneurs lectrothermiques fiables. Les rsultats obtenus nous ont galement permis de mettre en place un modle empirique du vieillissement de lactionneur. Ce modle peut alors tre intgr dans le prototype virtuel pour pouvoir prdire le vieillissement des structures testes. Cependant, il faut garder lesprit quil ne sagit que

137

dun modle empirique quil conviendra de complter par des modles thoriques des mcanismes de dfaillance qui nexistent pas encore ce jour. Tous ces rsultats dpendent de lobservation directe de lactionneur. Avec cette mthode, il nest pas possible dobserver rapidement le vieillissement. Nous avons donc cherch trouver un paramtre lectrique permettant de prdire plus rapidement le vieillissement de lactionneur. En effet, un paramtre lectrique peut tre observ en temps rel. Nous avons trouv que la valeur de la rsistance maximale dans un cycle de fonctionnement a la mme variation que le dplacement au cours du vieillissement. Ainsi, on peut dire que ce paramtre a la mme signature que le dplacement et permet de raliser plus facile des dtections de vieillissement.

tel-00011349, version 1 - 11 Jan 2006

138

Conclusion gnrale et Perspectives

1 Conclusion
En se calquant sur le domaine de la microlectronique, les mthodes utilises jusqu aujourdhui pour tudier la fiabilit des microsystmes font appel des tudes statistiques lies des fabrications en grande quantit. Il nexiste donc pas de mthode pour tudier la fiabilit des microsystmes fabriqus en faible quantit. Cest pour rpondre ce problme que nous avons cherch, travers cette thse, mettre en place une mthodologie dtude de la fiabilit de ce type de microsystmes. Cette dmarche est base sur le prototypage virtuel et nous avons choisi lactionneur lectrothermique en forme de U pour montrer la faisabilit de cette mthode. Nous avons donc abord plusieurs points dans ce manuscrit. Tout dabord, nous nous sommes appuys sur les prcdents travaux pour lister les mcanismes de dfaillance identifis ce jour dans le domaine des microsystmes. Nous avons ensuite dtaill la modlisation analytique de lactionneur lectrothermique pour aboutir la ralisation de vhicule de tests. Ces structures ralises ont t utilises, dune part pour valider le modle, moyennant une mesure des diffrents paramtres gomtriques et technologiques, et, dautre part pour raliser des tests de vieillissement. Les modles des mcanismes de dfaillance ntant pas encore prsents dans la littrature, nous avons alors pris appui sur les rsultats des tests de vieillissement pour mettre en place un modle empirique de vieillissement, qui vient complter le prototype virtuel. Le prototype virtuel de lactionneur lectrothermique en forme de U a t mis en place avec le langage VHDL-AMS. Lutilisation dun tel langage permet denvisager ltude de systmes plus complexes incluant des actionneurs. Ce peut tre le cas, par exemple, des micro-pinces actionns par une crmaillre et des actionneurs lectrothermiques mis en matrice. On pourra alors utiliser le composant actionneur lectrothermique en forme de U en tant que brique lmentaire (cf. code VHDL-AMS donn en annexes). En parallle de cette mise en place du prototype virtuel, nous avons cherch un moyen dobserver rapidement le vieillissement sans avoir passer par lobservation des structures. Cest donc sur des paramtres lectriques que sest porte cette recherche. Les rsultats de cette investigation ont montr que la rsistance maximale dans un cycle de fonctionnement varie de la mme manire que le dplacement de lactionneur. Ainsi la dtection dune chute anormale de ce paramtre permet didentifier un vieillissement de la structure. Cette thse a donc permis de faire un premier pas vers ltude de la fiabilit dun systme partir dun prototype virtuel.

tel-00011349, version 1 - 11 Jan 2006

139

2 Principales perspectives
La recherche de corrlation entre la modlisation et les tests nous a permis de vrifier la difficult, dans le domaine des microsystmes, dans la connaissance des paramtres gomtriques et technologiques. Des tudes complmentaires devront tre ralises pour trouver des structures permettant de mesurer les paramtres technologiques. Nous pourrons par exemple axer cette recherche sur les ponts suspendus. Sur le plan des rgles permettant daugmenter la dure de vie de lactionneur, il sera ensuite intressant dtudier plus spcifiquement la dformation de la structure avant et aprs le vieillissement. Cette observation pourra tre faite avec un profilomtre optique. Nous pourrons voir que la structure nest pas vraiment vieillie lorsque son dplacement a subi une chute de 30% et quil serait possible daugmenter le courant dactionnement pour lui permettre de faire davantage de cycles de fonctionnement. Laugmentation de ce nombre de cycles pourra donc se faire laide dun systme contre-raction permettant daugmenter le courant de faon ne pas avoir la chute du dplacement

tel-00011349, version 1 - 11 Jan 2006

de lactionneur. Mais cette solution ne permet pas dviter lapparition des dfaillances quil est ncessaire de prendre en compte dans le prototype virtuel. Pour cela, il nous appartient de mener des investigations sur ces dfaillances en les identifiant et en les caractrisant afin de trouver des modles physiques de ces phnomnes. Ils pourront tre ensuite implments laide du langage VHDL-AMS et tre ensuite utiliss comme des briques lmentaires en vue dtre utilises pour faire une tude de structures plus complexes incluant ces actionneurs (micro-pince, miroir, moteur,).

140

Bibliographie

[Agati2001] P. Agati, F. Lerouge, M. Rossetto, Rsistance des matriaux, Mars 2001, Dunod, France ........................................................................................................................................................... 49 [Bagdahn2001] J. Bagdahn, J. Schischka, M. Petzold, W.N. Sharpe, Fracture Toughness and Fatigue Investigations of Polycristalline Silicon, Proc. SPIE, Vol. 4558, 159-168, 2001 ............................... 9 [Budinger2004] M. Budinger, C. Pellet, S. Muratet, JY. Fourniols, Modlisation analytique de micro actionneurs thermiques, 2mes journes du RTP Fiabilit des Composants et Packaging , Carry le Rouet, France, 15-16 Mars 2004 ................................................................................................... 55 [Butler1997] J.T. Butler, V.M. Bright, W.D. Cowan, SPICE Modeling of Polysilicon Thermal Actuators, Proceedings of the SPIE International Society for Optical Engineering, pp. 284-293, 1997................................................................................................................................................... 54 [Castillejo1998] A. Castillejo, D. Veychard, S. Mir, J.M. Karam, B. Courtois, Failure mechanisms and fault classes for CMOS-compatible microelectromechanical systems, IEEE Int. Test Conference, pp. 541-550, 1998...................................................................................................................................... 7 [Charlot2001] CHARLOT Benot, Modlisation de fautes et conception en vue du test structurel des microsystmes, Thse de doctorat de lINPG Grenoble, Mars 2001............................................... 2, 5 [Chen2002] R.S. Chen, C. Kung, G.B. Lee, Analysis of the optimal dimension on the electrothermal microactuator, J. Micromech. Microeng. 12 (2002) 291-296..................................................... 31, 64 [Chiao2000] M. Chiao, L. Lin, Self-Buckling of Micromachined Beams Under Resistive Heating, J. Microelectromech. Syst., vol. 9, no. 1, March 2000 ......................................................................... 31 [CMP2005] http://cmp.imag.fr/index.php............................................................................................. 83 [Comtois1995] J.H. Comtois, V.M. Bright, M.W. Phipps, Thermal microactuators for sufacemicromachining processes, The International Society for Optical Engineering, SPIE vol. 2642, pp. 10-21, 1995........................................................................................................................................ 18 [Comtois1996] J.H. Comtois, V.M. Bright, Surface micromachined polysilicon thermal actuators arrays and applications, Tech. Digest, Solid-State Sensor and Actuator Workshop, pp. 174-177, 1996................................................................................................................................................... 91 [Comtois1997] J.H. Comtois, V.M. Bright, Applications for surface-micromachined polysilicon thermal actuators and arrays, Sensors and Actuators A58, pp. 19-25, 1997 ........................... 20, 122 [Comtois1998] J.H. Comtois, M.A. Michalicek, C.C. Barron, Electrothermal actuators fabricated in four-level planarized surface micromachined polycristalline silicon, Sensors and Actuators A70, pp. 23-31, 1998........................................................................................................................................ 67

tel-00011349, version 1 - 11 Jan 2006

141

[Conant1998] R.A. Conant, R.S. Muller, Cyclic fatigue testing of surface-micromachined thermal actuators, 1998 ASME International Mechanical Engineering Congress and Exposition, Anaheim CA, vol. 66, pp. 273-277 ............................................................................................................ passim [Coventor2005] http://www.coventor.com/ .......................................................................................... 26 [Dardalhon2003] DARDALHON Muriel, Contribution lanalyse de la fiabilit des microsystmes, Thse de doctorat de luniversit de Montpellier II, Dcembre 2003 ................................................. 6 [DelPedro] M. Del Pedro, T. Gmr, Elments de mcanique des structures, Presses polytechniques et universitaires romandes, 2001......................................................................................................... 155 [Epi-SOI2004] Epi-SOI Surface Micromachining Design Rules Multi-Project Wafer Service, TRONICS Microsystems, version n4, Janvier 2004 ...................................................................... 87 [Feynman1959] Richard P. Feynman, Theres Plenty of Room at the Bottom, Journal MEMS, vol. 1, No. 1, march 1992 (transcription dune allocution donne par Richard P. Feynman le 36 dcembre 1959 la runion annuelle de lAmerican Physical Society au California Institute of Technology).. 2 [Hickey2002] R. Hickey, M. Kujath, T. Hubbard, Heat transfer analysis and optimization of two-beam microelectromechanical thermal actuators, J. Vac. Sci. Technol. A20(3), 2002 ..................... 47, 126 [Hickey2003] R. Hickey, D. Sameoto, T. Hubbard, M. Kujath, Time and frequency response of twoarm micromachined thermal actuators, J. Micromech. Microeng. 13, pp. 40-46, 2003................... 22 [Hoshi2005] http://fujimac.t.u-tokyo.ac.jp/hoshi/doc/hoshi-2005a.pdf ................................................ 67 [Huang1999] Q.-A. Huang, N.K.S. Lee, Analysis and design of polysilicon thermal flexure actuator, J. Micromech. Microeng. 9 (1999) 64-70 ......................................................................... 31, 35, 67, 112 [Johnstone2002] R.W. Johnstone, M. Parameswaran, Theoretical limits on the freestanding length of cantilevers produced by surface micromachining technology, J. Micromech. Microeng. 12, pp. 855861, 2002..................................................................................................................................... 66, 67 [Kapels2000] H. Kapels, R. Aigner, J. Binder, Fracture Strength and Fatigue of Polysilicon Determined by a Novel Thermal Actuator, Proc. IEEE Transactions on Electron Devices 47, 15221528, 2000........................................................................................................................................... 9 [Kolesar1999] E.S. Kolesar, P.B. Allen, J.T. Howard, J.M. Wilken, Thermally actuated microbeam for large in-plane mechanical deflections, J. Vac. Sci. Technol. A 17(4), pp. 2257-2263, 1999........... 93 [Lai2004] Y. Lai, J. McDonald, M. Kujath, T. Hubbard, Force, deflection and power measurements of toggled microthermal actuators, J. Micromech. Microeng. 14 (2004) 49-56............................. 22, 31 [Lin 1996] L. Lin, M. Chiao, Electrothermal response of lineshape microstructures, Sensors and Actuators A 55, pp. 35-41, 1996 ....................................................................................................... 56 [Lin1996] L. Lin, M. Chiao, Electrothermal responses of lineshape microstuctures, Sensors and Actuators A 55 (1996) 35-41............................................................................................................. 39 [Liotard2005] A. Liotard, S. Muratet, F. Zamkotsian, J.Y. Fourniols, Static and dynamic micro deformable mirror characterization by phase-shifting and time-averaged interferometry,

tel-00011349, version 1 - 11 Jan 2006

142

Conference on Reliability, Packaging, Testing and Characterization of MEMS/MOEMS IV, San Jose (USA), 2005 .............................................................................................................................. 16 [Mastrangelo 1991] C.H. Mastrangelo, Thermal applications of microbridges, manuscript de these, U.C. Berkeley, 1991 .......................................................................................................................... 55 [Mastrangelo1993] C.H. Mastrangelo, Mechanical Stability and Adhesion of Microstructures Under Capillary Forces Part I : Basic theory, J. Microelectromech. Syst. 2, pp. 44-55, 1993 ................ 67 [Merlijn2003] W. Merlijn van Spengen, MEMS reliability from a failure mechanisms perspective, Microelectronics Reliability 43, pp. 1049-1060, 2003........................................................................ 9 [Millet2003] MILLET Olivier, Vers une comprhension des mcanismes de dfaillance des dispositifs microsystmes, Thse de doctorat de luniversit des sciences et technologies de Lille, Dcembre 2003..................................................................................................................................................... 5 [Muhlstein2000] C.L. Muhlstein, S.B. Brown, R.O. Ritchie, High-Cycle Fatigue of Polycristalline Silicon Thin Films in Laboratory Air, MRS Symposium Proc. 657, EE5.8.1-EE5.8.6, 2000 ............ 9 [Muratet2003] S. Muratet, J.Y. Fourniols, G. Soto Romero, A. Endemano, A. Marty, M. Desmulliez, MEMS reliability modeling methodology: application to wobble micromotor failure analysis, Microlectronics Reliability, Vol.43, N9-11, pp.1945-1949, Septembre-Novembre 2003............... 25 [Muratet2004] S. Muratet, J.Y. Fourniols, Microsystems and applications to space: reliability modeling methodology, Advancing Microelectronics Magazine, Vol.31, N2, Mars/Avril 2004.... 25 [NI2005] http://digital.ni.com/worldwide/france.nsf/main?readform................................................... 97 [pixeLINK2005] http://www.pixelink.com/products_info.asp?id=8 .................................................... 97 [polyMUMPs] http://www.memsrus.com/nc-pmumps.refs.html.......................................................... 31 [PolyMUMPs2003] D. Koester, A. Cowen, R. Mahadevan, M. Stonefield, B. Hardy, PolyMUMPs Design Handbook, revision 10.0, Memscap, 2003............................................................................ 84 [Reid1998] J. R. Reid, V.M. Bright, J.T. Butler, Automated assembly of flip-up micromirrors, Sensors and Actuators A66, pp. 292-298, 1998............................................................................................ 121 [Rigo2004] S. Rigo, J. Alexis, T. Masri, J.M. Desmarres, J.A. Petit, La nanoindentateur, un outil pour la micro caractrisation des matriaux issus des technologies MEMS, 2mes journes du RTP fiabilit, 15-16 Mars 2004, Carry le Rouet, France........................................................................... 31 [RTPfiabilit2005] http://www.ixl.fr/rtpfiab/........................................................................................ 93 [Rybicki1998] G.C. Rybicki, P. Pirouz, Indentation plasticity and fracture in silicon, 1988, NASA technical paper n 2863 ................................................................................................................... 125 [Sandia2005] http://www.sandia.gov/mstc/ ........................................................................................ 1, 8 [Suss2005] http://www.suss.com/main.php?rad_f=167&rad_id=319 .................................................. 97 [SV2005] http://www.mentor.com/products/sm/systemvision/index.cfm ............................................ 57 [Yan2002] D. Yan, Mechanical Design and Modeling of MEMS thermal actuators for RF applications, Master thesis report, 2002...................................................................................... 20, 21

tel-00011349, version 1 - 11 Jan 2006

143

tel-00011349, version 1 - 11 Jan 2006

144

Bibliographie de lauteur

Revues Internationales
S. Muratet, J.Y. Fourniols, Microsystems and applications to space: reliability modeling methodology , Advancing Microelectronics Magazine, Vol.31, N2, Mars/Avril 2004. S. Muratet, J.Y. Fourniols, G. Soto Romero, A. Endemano, A. Marty, MEMS reliability modeling methodology: application to wobble micromotor failure analysis , Microelectronics Reliability, Vol.43, N9-11, pp.1945-1949, Septembre-Novembre 2003. A. Endemano, J.Y. Fourniols, H. Camon, A. Marchese, S. Muratet, F. Bony, M. Dunnigan, M. Desmulliez, G. Overton, VHDL-AMS modelling and simulation of a planar electrostatic micromotor , Journal of Micromechanics and Microengineering, Vol.13, N5, pp.580-590, Septembre 2003.

tel-00011349, version 1 - 11 Jan 2006

Revues Nationales
S. Muratet, J.Y. Fourniols, Les microsystmes : conception, simulation, tests et caractrisations. Enseignement des microsystmes , Journal de l'Enseignement des Sciences et des Technologies de l'Information et des Systmes (J3eA), Vol.2, N16, 2003.

Confrences Internationales avec actes et comit de lecture


S. Muratet, S. Lavu, A. Aliane, J.Y. Fourniols, M. Desmulliez, Reliability modelling and analysis of thermal MEMS , International MEMS Conference (iMEMS2006), Singapour, 9-12 Mai 2006. J. Oudinot, S. Muratet, J.Y. Fourniols, M. Desmulliez, Microsystem simulation with VHDL-AMS , European Congress SENSACT2005, Paris, 7-8 dcembre 2005. S. Muratet, A. Aliane, J.L. Boizard, J.Y. Fourniols, Reliability modelling of thermal MEMS , Workshop on MEMS reliability (ESREF2005), Arcachon (France), 10 Octobre 2005. S. Lavu, M. Desmulliez, S. Muratet, J.Y. Fourniols, I. De Wolf, M. Gonzalez, High-level reliability modeling and characterization of MEMS , Workshop on MEMS reliability (ESREF2005), Arcachon (France), 10 Octobre 2005. S. Muratet, J.Y. Fourniols, Modelling for the reliability predictive analysis of electro thermal actuators , 7th International Workshop on Electronics, Control, Modelling, Measurement and Signals (ECMS2005), Toulouse (France), 17-20 Mai 2005. A. Liotard, S. Muratet, F. Zamkotsian, J.Y. Fourniols, Static and dynamic micro deformable mirror characterization by phase-shifting and time-averaged interferometry , Conference on Reliability, Packaging, Testing and Characterization of MEMS/MOEMS IV (MEMS-MOEMS2005), San Jose (USA), 22-27 Janvier 2005.

145

S. Muratet, M. Desmulliez, J. Oudinot, Micromotor simulation with VHDL-AMS , Mentor Graphics International User Conference (User2User), Santa Clara (USA), 19-21 Avril 2004. M. Desmulliez, A. Endemano, J. Oudinot, G. Overton, J.Y. Fourniols, S. Muratet, Micromotor simulation with VHDL-AMS , Forum on specification & Design Languages (FDL'03), Francfort (Allemagne), 23-26 Septembre 2003. A. Endemano, J.Y. Fourniols, H. Camon, A. Marchese, S. Muratet, F. Bony, M. Desmulliez, VHDLAMS modelling, simulation and testing of electrostatic micromotors , Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS (DTIP'2003), Mandelieu-La-Napoule (France), 5-7 Mai 2003.

Confrences Nationales avec actes et comit de lecture


S. Muratet, J.Y. Fourniols, Modlisation pour analyse prdictive de la fiabilit des micro actionneurs lectrothermiques , colloque annuel de lcole doctorale Gnie lectrique, lectronique, Tlcommunications, Toulouse, 17 Mai 2005. S. Muratet, J.Y. Fourniols, Microsystmes et applications l'espace : mthodologie pour modlisation de la fiabilit , 13me Forum de l'Interconnexion et du Packaging Microlectronique (IMAPS 2003), Versailles (France), 20-22 Mai 2003. H. Camon, J.Y. Fourniols, S. Muratet, B. Estibals, Microsystmes actionnement lectrostatique : conception, modlisation et caractrisation , Journes lectrotechniques du Club EEA, Amiens (France), 12-13 Mars 2003.

tel-00011349, version 1 - 11 Jan 2006

Rapports internes
S. Muratet, J.Y. Fourniols, MEMS SOI designs characteristics in MPW process , Rapport LAAS N04370, Contrat Tronics, Mai 2004, 37p. S. Muratet, J.Y. Fourniols, A. Marchese, F. Bony, H. Camon, B. Estibals, D. Estve, Descriptif du RUN MPW en technologie SOI : design et cartographie de MEMS. Analyse des structures et du process technologie , Rapport LAAS N02239, Mai 2002, 34p. S. Muratet, J.Y. Fourniols, A. Marchese, F. Bony, H. Camon, D. Estve, Descriptif du RUN45 en technologie CRONOS : design et cartographie de MEMS. Prsentation des diffrents soussystmes , Rapport LAAS N02143, Avril 2002, 152p. S. Muratet, C. Bergaud, L. Nicu, P. Temple-Boyer, Etude nergtique du comportement des microstructures de type pont , Rapport LAAS N01371, Aot 2001, 65p.

146

Annexes

1. Code des modles VHDL-AMS


1.1 Modle du comportement lectrothermique dun lment discret
-- MURATET Sylvaine -- 20/10/2004 -- composant modlisant le comportement lectrothermique dun lment discret dun pont suspendu -- compil et test LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.electrical_systems.all; USE ieee.mechanical_systems.all; USE ieee.fluidic_systems.all; USE ieee.thermal_systems.all; USE ieee.radiant_systems.all; LIBRARY edulib; USE work.all; entity finite_element_v1 is generic(I: real; --courant d'actionnement (A) rho : real; --rsistivit (Ohm.m) l : real; --longueur de l'lment (m) w : real; --largeur de l'lment (m) h : real; --paisseur de l'lment (m) ki : real; --coef de variation de rho avec T (C-1) -- les conductivits thermiques k_p : real; --du poly W.m-1.C-1 k_G : real; --de l'air (W.m-1.C-1) F_S : real; --facteur de forme t_v : real); --paisseur de la couche d'air (m) port (terminal V_neg, V_pos : electrical; terminal T_neg, T_S, T_pos : thermal); end entity finite_element_v1; architecture arch of finite_element_v1 is terminal T_avg : thermal; quantity tension across current through V_pos to V_neg; quantity temp_cond1 across hflow_cond1 through T_pos to T_avg; quantity temp_cond2 across hflow_cond2 through T_avg to T_neg; quantity temp_conv across hflow_conv through T_avg to T_S; quantity hflow_gen through T_S to T_avg; quantity temp_element across T_S to T_avg; begin tension == rho*l/w/h*I*(1.0+ki*temp_element); --partie lectrique hflow_cond1 == 2.0*k_p*w*h/l*temp_cond1; --R_conduction1 hflow_cond2 == 2.0*k_p*w*h/l*temp_cond2; --R_conduction2 hflow_conv == F_S*k_G*w*l/t_v*temp_conv; --R_convection hflow_gen == rho*l/w/h*I*I*(1.0+ki*temp_element); --gnration du chaleur end;

tel-00011349, version 1 - 11 Jan 2006

flux

de

1.2 Modle du comportement lectrothermique dun pont suspendu contenant 10 lments discrets
-- MURATET Sylvaine -- 20/10/2004 -- composant modlisant le comportement constitu de 10 lments discrets lectrothermique dun pont suspendu

147

-- compil et test LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.electrical_systems.all; USE ieee.mechanical_systems.all; USE ieee.fluidic_systems.all; USE ieee.thermal_systems.all; USE ieee.radiant_systems.all; USE IEEE.MATH_REAL.all; LIBRARY edulib; USE work.all; entity bras_10_finite_element_v1 is generic (I : real := 2.5e-3; --courant d'actionnement (A) rho : real := 2.4e-5; --rsistivit (Ohm.m) l : real := 10.0e-6; --longueur de l'lment (m) w : real := 10.0e-6; --largeur de l'lment (m) h : real := 3.513e-6; --paisseur de l'lment (m) --coef de variation de rho avec T (C-1) ki : real := 1.25e-3; --conductivit thermique k_p : real := 32.0; --du poly W.m-1.C-1 k_G : real := 0.026; --de l'air (W.m-1.C-1) F_S : real := 0.6; --facteur de forme du bras t_v : real := 1.988e-6; --paisseur de l'air (m) T_amb : real := 300.0; --temprature ambiante (K) --dpart de l'volution du temps pour la reprsentation du signal de la temprature dans les bras t_0 : time := 0.0 ms); port (terminal V_pos, V_neg : electrical; terminal T_pos, T_neg, T_S, T_moyenne : thermal; signal T_profil : out real := 300.0); end entity bras_10_finite_element_v1; architecture arch of bras_10_finite_element_v1 is component finite_element_v1 generic(I, rho, l, w, h, ki, k_p, k_G, F_S, t_v : real); port (terminal T_neg, T_pos, T_S : thermal; terminal V_neg, V_pos : electrical); end component finite_element_v1; for all: finite_element_v1 use entity WORK.finite_element_v1(arch); terminal terminal terminal terminal quantity quantity quantity quantity quantity quantity quantity quantity quantity quantity quantity quantity begin finite_element1 : finite_element_v1 generic map (I => I, rho => rho, l => l, w => w, h => h, ki => ki, k_p =>k_p, k_G => k_G, F_S => F_S, t_v => t_v) port map (T_pos => T_pos, T_neg => T_1_2, T_S => T_S, V_pos => V_pos, V_neg => V_1_2); finite_element2 : finite_element_v1 generic map (I => I, rho => rho,l => l, w => w, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S, t_v => t_v) port map (T_pos => T_1_2, T_neg => T_2_3, T_S => T_S, V_pos => V_1_2, V_1_2, V_6_7, T_1_2, T_6_7, V_2_3, V_7_8, T_2_3, T_7_8, V_3_4, V_8_9, T_3_4, T_8_9, V_4_5, V_9_10 T_4_5, T_9_10 V_5_6 : electrical; : electrical; T_5_6 : thermal; : thermal;

tel-00011349, version 1 - 11 Jan 2006

T_0_l across T_pos to thermal_ref; T_1_l across T_1_2 to thermal_ref; T_2_l across T_2_3 to thermal_ref; T_3_l across T_3_4 to thermal_ref; T_4_l across T_4_5 to thermal_ref; T_5_l across T_5_6 to thermal_ref; T_6_l across T_6_7 to thermal_ref; T_7_l across T_7_8 to thermal_ref; T_8_l across T_8_9 to thermal_ref; T_9_l across T_9_10 to thermal_ref; T_10_l across T_neg to thermal_ref; temp_moy across flux_moy through T_moyenne to thermal_ref;

148

V_neg => V_2_3); finite_element3 : finite_element_v1 generic map (I => I, rho => rho, l => l, w => w, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S, t_v => t_v) port map (T_pos => T_2_3, T_neg => T_3_4, T_S => T_S, V_pos => V_2_3, V_neg => V_3_4); finite_element4 : finite_element_v1 generic map (I => I, rho => rho, l => l, w => w, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S, t_v => t_v) port map (T_pos => T_3_4, T_neg => T_4_5, T_S => T_S, V_pos => V_3_4, V_neg => V_4_5); finite_element5 : finite_element_v1 generic map (I => I, rho => rho, l => l, w => w, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S, t_v => t_v) port map (T_pos => T_4_5, T_neg => T_5_6, T_S => T_S, V_pos => V_4_5, V_neg => V_5_6); finite_element6 : finite_element_v1 generic map (I => I, rho => rho, l => l, w => w, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S, t_v => t_v) port map (T_pos => T_5_6, T_neg => T_6_7, T_S => T_S, V_pos => V_5_6, V_neg => V_6_7); finite_element7 : finite_element_v1 generic map (I => I, rho => rho, l => l, w => w, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S, t_v => t_v) port map (T_pos => T_6_7, T_neg => T_7_8, T_S => T_S, V_pos => V_6_7, V_neg => V_7_8); finite_element8 : finite_element_v1 generic map (I => I, rho => rho, l => l, w => w, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S, t_v => t_v) port map (T_pos => T_7_8, T_neg => T_8_9, T_S => T_S, V_pos => V_7_8, V_neg => V_8_9); finite_element9 : finite_element_v1 generic map (I => I, rho => rho, l => l, w => w, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S, t_v => t_v) port map (T_pos => T_8_9, T_neg => T_9_10, T_S => T_S, V_pos => V_8_9, V_neg => V_9_10); finite_element10 : finite_element_v1 generic map (I => I, rho => rho, l => l, w => w, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S, t_v => t_v) port map (T_pos => T_9_10, T_neg => T_neg, T_S => T_S, V_pos => V_9_10, V_neg => V_neg); temp_moy == (T_0_l + T_1_l + T_2_l + T_3_l + T_4_l + T_5_l + T_6_l + T_7_l + T_8_l + T_9_l + T_10_l) / 11.0; process begin wait for t_0; T_profil <= T_0_l; wait for l; T_profil <= T_1_l; wait for l; T_profil <= T_2_l; wait for l; T_profil <= T_3_l; wait for l; T_profil <= T_4_l; wait for l; T_profil <= T_5_l; wait for l; T_profil <= T_6_l; wait for l;

tel-00011349, version 1 - 11 Jan 2006

149

T_profil <= T_7_l; wait for l; T_profil <= T_8_l; wait for l; T_profil <= T_9_l; wait for l; T_profil <= T_amb; wait; end process; end architecture arch;

1.3 Modle complet de lactionneur lectrothermique en forme de U


-- MURATET Sylvaine -- 20/10/2004 -- testbench permettant de modliser le comportement complet dun actionneur lectrothermique en forme de U en utilisant 10 lments discrets par bras -- compil et test

tel-00011349, version 1 - 11 Jan 2006

LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.electrical_systems.all; USE ieee.mechanical_systems.all; USE ieee.fluidic_systems.all; USE ieee.thermal_systems.all; USE ieee.radiant_systems.all; USE IEEE.MATH_REAL.all; LIBRARY edulib; USE work.all; entity testbench_actionneur_10_elements_v1 is end entity testbench_actionneur_10_elements_v1; architecture arch of testbench_actionneur_10_elements_v1 is constant n : real := 10.0; --nombre d'lments par bras constant I : real := 3.0e-3; --courant d'actionnement (A) constant rho : real := 2.46e-5; --rsistivit (Ohm.m) -- le coefficient de variation de rho avec la temprature constant ki : real := 1.25e-3; -- (C-1) -- les longueurs des lments discrets des bras de lactionneur constant l_h : real := 30.0e-6; -- pour le bras chaud (m) constant l_c : real := 24.0e-6; -- pour le bras froid (m) constant l_f : real := 6.0e-6; -- pour le bras de flexion (m) constant l_j : real := 0.3e-6; -- pour le bras de jonction (m) -- les longueurs totales des bras de lactionneur constant l_h_t : real := n*l_h; constant l_c_t : real := n*l_c; constant l_f_t : real := n*l_f; constant l_j_t : real := n*l_j; -- les largeurs des bras constant w_h : real := 3.0e-6; -- pour le bras chaud (m) constant w_c : real := 20.0e-6; -- pour le bras froid (m) constant w_f : real := 3.0e-6; -- pour le bras de flexion (m) constant w_j : real := w_h; -- pour le bras de jonction (m) constant h : real := 3.513e-6; -- paisseur de l'lment (m) constant t_v : real := 1.988e-6; -- paisseur de la couche d'air (m) -- les surfaces des bras constant S_h : real := w_h*h; constant S_c : real := w_c*h; constant S_f : real := w_f*h; constant S_j : real := w_j*h; -- les moments d'inertie constant I_h : real := h*w_h*w_h*w_h/12.0; constant I_c : real := h*w_c*w_c*w_c/12.0; constant I_f : real := h*w_f*w_f*w_f/12.0; constant I_j : real := h*w_j*w_j*w_j/12.0; -- les conductivits thermiques constant k_p : real := 32.0; -- du poly (W.m-1.C-1) constant k_G : real := 0.026; -- de l'air (W.m-1.C-1)

150

-- les facteurs de forme pour la prise en compte de la convection constant F_S_h : real := h/w_h*(2.0*t_v/h+1.0)+1.0; -- bras chaud constant F_S_c : real := h/w_c*(2.0*t_v/h+1.0)+1.0; -- bras froid constant F_S_f : real := h/w_f*(2.0*t_v/h+1.0)+1.0; -- bras de flexion constant F_S_j : real := h/w_j*(2.0*t_v/h+1.0)+1.0; -- bras de jonction constant T_amb : real := 300.0; -- temprature ambiante (K) -- les dparts pour le trac du profil de temprature en assimilant -- le temps la localisation dans l'actionneur constant t_0_chaud : time := 0.0 us; -- pour le bras chaud constant t_0_jonction : time := 300.0 us; --pour le bras de jonction constant t_0_froid : time := 303.0 us; -- pour le bras froid constant t_0_flexion : time := 543.0 us; -- pour le bras de flexion constant TCE : real := 2.8e-6; -- TCE en C-1 constant E : real := 158.0e9; -- module de Young en Pa constant F : real := 0.0; -- force virtuellement applique component bras_10_finite_element_v1 generic(I, rho, l, w, h, ki, k_p, k_G, F_S, t_v, T_amb : real; t_0 : time); port(terminal T_neg, T_pos, T_S, T_moyenne : thermal; terminal V_neg, V_pos : electrical; signal T_profil : out real := 300.0); end component bras_10_finite_element_v1; for all: bras_10_finite_element_v1 use entity WORK.bras_10_finite_element_v1(arch);

tel-00011349, version 1 - 11 Jan 2006

terminal T_fix1, T_fix2, T_S : thermal; terminal T_HJ, T_JC, T_CF : thermal; terminal V_fix1, V_fix2 : electrical; terminal V_HJ, V_JC, V_CF : electrical; terminal T_moy_bras_chaud, T_moy_bras_jonction : thermal; terminal T_moy_bras_froid, T_moy_bras_flexion : thermal; signal T_profil_chaud, T_profil_jonction : real := 300.0; signal T_profil_froid, T_profil_flexion : real := 300.0; quantity quantity quantity quantity quantity quantity quantity quantity quantity quantity quantity quantity quantity begin bras_chaud : bras_10_finite_element_v1 generic map (I => I, rho => rho, l => l_h, w => w_h, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S_h, t_v => t_v, T_amb => T_amb, t_0 => t_0_chaud) port map (T_pos => T_fix1, T_neg => T_HJ, T_S => T_S, V_pos => V_fix1, V_neg => V_HJ, T_moyenne => T_moy_bras_chaud, T_profil => T_profil_chaud); bras_jonction : bras_10_finite_element_v1 generic map (I => I, rho => rho, l => l_j, w => w_j, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S_j, t_v => t_v, T_amb => T_amb, t_0 => t_0_jonction) port map (T_pos => T_HJ, T_neg => T_JC, T_S => T_S, V_pos => V_HJ, V_neg => V_JC, T_moyenne => T_moy_bras_jonction, T_profil => T_profil_jonction); bras_froid : bras_10_finite_element_v1 generic map (I => I, rho => rho, l => l_c, w => w_c, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S_c, t_v => t_v, T_amb => T_amb, t_0 => t_0_froid) port map (T_pos => T_JC, T_neg => T_CF, T_S => T_S, V_pos => V_JC, V_neg => V_CF, T_moyenne => T_moy_bras_froid, T_profil => T_profil_froid); deflexion : real := 0.0; deflexion_micron : real := 0.0; X_A : real := 0.0; Y_A : real := 0.0; M_A : real := 0.0; current through V_fix1 to V_fix2; T_ext1 across hflow1 through T_fix1 to thermal_ref; T_ext2 across hflow2 through T_fix2 to thermal_ref; T_subs across hflow_subs through T_S to thermal_ref; T_h_moy across T_moy_bras_chaud to thermal_ref; T_j_moy across T_moy_bras_jonction to thermal_ref; T_c_moy across T_moy_bras_froid to thermal_ref; T_f_moy across T_moy_bras_flexion to thermal_ref;

151

bras_flexion : bras_10_finite_element_v1 generic map (I => I, rho => rho, l => l_f, w => w_f, h => h, ki => ki, k_p => k_p, k_G => k_G, F_S => F_S_f, t_v => t_v, T_amb => T_amb, t_0 => t_0_flexion) port map (T_pos => T_CF, T_neg => T_fix2, T_S => T_S, V_pos => V_CF, V_neg => V_fix2, T_moyenne => T_moy_bras_flexion, t_profil => T_profil_flexion); current == I; T_ext1 == T_amb; T_ext2 == T_amb; T_subs == T_amb; -- partie thermomcanique -- dG/dX_A=0 2.0*(l_h_t/S_h+l_c_t/S_c+l_f_t/S_f+l_c_t/I_c*l_j_t*l_j_t+l_f_t*l_j_t*l_j_t/I_ f)*X_A+l_j_t*(l_c_t/I_c*(2.0*l_h_t-l_c_t)+l_f_t*l_f_t/I_f)*Y_A-2.0*l_j_t* (l_c_t/I_c+l_f_t/I_f)*M_A==2.0*E*TCE*(l_h_t*(T_h_moy-T_subs)-l_c_t*(T_c_moy-T_subs) l_f_t*(T_f_moy-T_subs))-l_j_t*F*(l_f_t/I_f*(2.0*l_c_t+l_f_t)+l_c_t*l_c_t/I_c); -- dG/dY_A=0 l_j_t*(l_f_t*l_f_t/I_f+l_c_t/I_c*(2.0*l_h_t-l_c_t))*X_A +(2.0/3.0*(l_h_t*l_h_t*l_h_t/I_h+l_f_t*l_f_t*l_f_t/I_f)+2.0*l_c_t/I_c* (l_h_t*l_h_t-l_c_t*l_h_t+l_c_t*l_c_t/3.0))*Y_A(l_h_t*l_h_t/I_h+l_f_t*l_f_t/I_f+l_c_t/I_c*(2.0*l_h_t-l_c_t))*M_A== -F*(l_f_t*l_f_t/I_f*(l_c_t+l_f_t/3.0)+l_c_t*l_c_t/I_c*(l_h_t+l_c_t/3.0));

tel-00011349, version 1 - 11 Jan 2006

-- dG/dM_A=0 -2.0*l_j_t*(l_f_t/I_f+l_c_t/I_c)*X_A-(l_h_t*l_h_t/I_h+l_f_t*l_f_t/I_f+ l_c_t/I_c*(2.0*l_h_t-l_c_t))*Y_A+2.0*(l_h_t/I_h+l_f_t/I_f+l_c_t/I_c)*M_A ==(l_f_t/I_f*(2.0*l_c_t+l_f_t)+l_c_t*l_c_t/I_c)*F; -- dG/dF=deflexion deflexion==F*(l_f_t/E/I_f*(l_c_t*l_c_t+l_f_t*l_f_t/3.0+l_c_t*l_f_t)+l_c_t*l_c _t*l_c_t/I_c/E/3.0)+X_A*l_j_t*(l_f_t/2.0/E/I_f*(2.0*l_c_t+l_f_t)+l_c_t*l_c_t/2.0/E/ I_c)+Y_A*(l_f_t*l_f_t/2.0/E/I_f*(l_c_t+l_f_t/3.0)+l_c_t*l_c_t/2.0/E/I_c*(l_h_t2.0/3.0*l_c_t))-M_A*(l_f_t/2.0/E/I_f*(2.0*l_c_t+l_f_t)+l_c_t*l_c_t/2.0 deflexion_micron == deflexion * 1.0e6; end architecture arch; /E/I_c);

2. Calcul de la flche dun actionneur lectrothermique en forme de U soumis lacclration gravitationnelle


Pour dterminer la dformation de lactionneur lectrothermique en forme de U soumis lacclration gravitationnelle, nous allons assimiler lactionneur un cantilever, i.e. une poutre dont une extrmit est libre et lautre est fixe. Ce cantilever est alors soumis son propre poids par gravit. Cette dernire se prsente sous la forme dune force uniformment rpartie. Le schma considr pour faire ce calcul est donn dans la Figure 124. On considrera deux points particuliers qui sont M et L et dont leur abscisse est note entre parenthses. y

x N (x) L (l)

Figure 124 : Reprsentation schmatique dun cantilever soumis une charge uniformment rpartie.

152

l est la longueur du cantilever (i.e. poutre dont une extrmit est libre et lautre est encastre). On appellera w la largeur de la poutre, h son paisseur. La densit de la force applique la poutre sera note q. Pour dterminer la dforme de cette poutre, i.e. dterminer y(x), il faut dans un premier temps trouver lexpression du moment de flexion du la force rpartie applique la poutre. Pour cela, nous allons nous placer en un point N, dabscisse x. La force, F , considrer pour calculer le moment de flexion en ce point N correspond la masse de la partie de poutre restant jusqu L et on considrera quelle sapplique au point P, milieu du segment [ML]. Le moment au point M est alors :
r (l x) r r r lx r ( x) = NP F = x (q(l x) )( y ) = q (l x) z 2 2

q M = ( x 2 2lx + l 2 ) 2
tel-00011349, version 1 - 11 Jan 2006
utiliser lquation fondamentale des poutres en flexion :

(62)

Une fois que le moment de flexion est connu en nimporte quel point de la poutre, on peut

y =

M EI

(63)

o M est le moment de flexion en un point dabscisse x, E est le module de Young et I est le moment dinertie qui sexprime comme I =

wh 3 . 12

En intgrant cette quation fondamentale des poutres en flexion, on retrouve lquation de la dforme de la poutre soumise cette force rpartie :

y=

q x 4 lx 3 l 2 x 2 + K1 x + K 2 + 2 EI 12 3 2

(64)

o K1 et K 2 sont des constantes dintgration. A lorigine de la poutre, la dformation est nulle ce qui permet dcrire que y ( x = 0) = 0 . De plus, la tangente la courbe est horizontale ce mme point ce qui se traduit par y ( x = 0 ) = 0 . En utilisant ces deux quations, on peut dterminer que K1 = K 2 = 0 . Lquation de la dforme de cette poutre est alors :

q x 4 lx 3 l 2 x 2 + y= 2 EI 12 3 2
est :

(65)

ce qui permet den dduire que la flche de la poutre, i.e. la dformation en bout de poutre,

= y(x = l ) =

ql 4 8 EI

(66)

153

Le signe (-) est li au choix de lorientation de laxe des y. On pourra donc considrer seulement la valeur absolue pour retrouver les formules donnes par la littrature [DelPedro]. Rappelons que q est la densit de la force applique au cantilever. Il sagit dune densit linique. Son expression est alors :

q = ghw
est lpaisseur du cantilever et w est la largeur du cantilever.

(67)

o g est lacclration due la gravit (9.81 m.s-2), est la densit massique du cantilever, h Le cantilever ayant une section transversale rectangulaire, le moment dinertie sexprime alors comme :

I=

wh 3 12

(68)

tel-00011349, version 1 - 11 Jan 2006

Ces trois quations sont alors combines pour obtenir lexpression du maximum de la dflexion dun cantilever due lacclration gravitationnelle :
1/ 4

2 Eh 2 max 3gl 4 = => = l max 3g 2 Eh 2

(69)

2. Calcul de la dflexion dune poutre sous laction dune force extrieure


y

r F
x N (x) P (l)

Figure 125 : Reprsentation schmatique dune poutre soumis une force extrieure.

Pour dterminer la dflexion dune poutre en fonction de la force applique en son extrmit, nous allons considrer la Figure 125. La force est applique au point P dabscisse l. Pour pouvoir utiliser lquation fondamentale des poutres en flexion, nous allons dterminer le moment de flexion en N du la prsence de la force F :

r r r ( N ) = NP F MF ,P

(70)

154

M = (l x) * F
Ensuite, nous utilisons la mme mthode que dans le paragraphe prcdent. A savoir que lon intgre lquation fondamentale des poutres en flexion en prenant des conditions initiales lies lancrage de la poutre pour retrouver lexpression de la dforme de cette poutre soumise la force

r F :

y ( x) =

F 2 x ( x 3l ) 6 EI

(71)

o E est le module de Young, I le moment dinertie (cf. expression dans le paragraphe prcdent). La flche de la poutre est alors :

d = y (l ) =
tel-00011349, version 1 - 11 Jan 2006

Fl 3 3EI

(72)

Pour une poutre de section rectangulaire, nous avons tabli prcdemment que I = wh 3 12 , ce qui permet dexprimer sa flche comme :

4F l d= Ew h

(73)

155

tel-00011349, version 1 - 11 Jan 2006

156

RESUME
Afin de contribuer tendre le champ dapplications des microsystmes des nouvelles applications haute valeur ajoute mais faible srie, il apparait ncessaire destimer et danalyser la fiabilit dun microsystme en prenant en compte les conditions dutilisation. Cest pour rpondre cette problmatique que les travaux de cette thse ont t raliss en vue de mettre en place une mthodologie dtude de la fiabilit des microsystmes par le biais de la modlisation. En effet, en ralisant un prototype virtuel complet dun microsystme, on peut non seulement prdire son comportement dans nimporte quelles conditions environnementales dutilisation mais aussi loptimiser avant de lancer sa fabrication. Pour dmontrer la faisabilit de cette mthode, les travaux ont t mens sur les actionneurs lectrothermiques. Pour cela, nous avons (1) mis en place un modle analytique du comportement idal de la structure en utilisant la mthode des lments finis mais surtout le langage VHDL-AMS, (2) fabriqu et caractris des vhicules de tests pour valider ce modle, (3) ralis des tests de vieillissement pour mettre en vidence les mcanismes de dfaillance et enfin (4) avons mis en place des modles empiriques de ce vieillissement pour complter la description analytique.

tel-00011349, version 1 - 11 Jan 2006

MOTS CLES :
Fiabilit de MEMS, Prototypage virtuel, Actionnement lectrothermique, Conception et design de MEMS, Modlisation physique et analytique, VHDL-AMS, Analyse de sensibilit

ABSTRACT
In the objective to extend the MEMS applicative field to expensive and safety critical applications, it is necessary to predict and analyse the MEMS reliability by taking into account the conditions of use. The aim of the thesis is to propose a novel methodology for the study of the MEMS reliability through modelling. Indeed, with the realization of complete virtual prototype of the microsystem, we can not only predict its behaviour in every environmental conditions of use but also optimize its design before the fabrication. The feasibility of the methodology is demonstrated on a U-shaped electro thermal actuator as test vehicle. To demonstrate the reliability methodology (1) an analytical model has been developed for the ideal behaviour of the device using finite element method and particularly using VHD-AMS language, (2) test vehicles were fabricated and characterized to validate the analytical model, (3) ageing tests are realized to evaluate lifespan and demonstrate failure mechanisms associated with ageing, and finally (4) an empirical model has been created for ageing behaviour in order to integrate it to complete the analytical description of the device.

KEYWORDS :
MEMS reliability, Virtual prototype, Electro thermal actuation, MEMS conception and design, Physical and analytical modelling, VHDL-AMS, Sensitivity analysis

157

Vous aimerez peut-être aussi