Vous êtes sur la page 1sur 9

TD N 1 : SYNTHESE VHDL

2019 / 2020
Exercice 1 :
1- Répondre aux questions suivantes :
 Quesque un Langage VHDL ?
VHDL est un langage de description de matériel
destiné à représenter le comportement ainsi que
l'architecture d'un système électronique numérique.
Son nom complet est VHSIC Hardware
Description Language.
Quelles sont les principales caractéristiques du
langage VHDL ?

Standard (indépendant du logiciel ⇒


échange facile)
 Méthodologies de conception diverses
 Outil complet (design, simulation,
synthèse)
 Haut niveau d’abstraction (indépendant
de la technologie)
De quoi se compose une description
VHDL ?

Une description VHDL est composée de 2 parties indissociables


à savoir :
• L’entité (ENTITY), elle définit les entrées et sorties.
• L’architecture (ARCHITECTURE), elle contient les
instructions VHDL permettant de réaliser le fonctionnement
attendu.
Déclaration des
bibliothèques

• Commentaires

2) Soit un exemple du Déclaration


code d’un décodeur 1 de l’entité

parmi 4 :
Donner le nom de
chaque structure d’une Déclaration
description VHDL: de
l’architecture
Exercice 2 :

• Soit l’entité de code VHDL • Library ieee


suivant corriger les erreurs :
• ieee.std_logic_1164.all;
• //declaration de ENTITE
• Entity FLIP_FLOP is
• Port (Q : in std_logic ,
• D ; clk ; rstb : out
std_logic);
• End EXEMPLE;
Corriger

Library ieee Library ieee ;


ieee.std_logic_1164.all; Use ieee.std_logic_1164.all;

Use ieee.numeric_std.all;
//declaration de ENTITE --declaration de ENTITE
Entity FLIP_FLOP is Entity FLIP_FLOP is
Port (Q : in std_logic , Port (D , clk , rstb :in std_logic ;
D ; clk ; rstb : out Q : out std_logic) ;
std_logic);
End EXEMPLE; End FLIP_FLOP;
Exercice 3 :

• Soit le schéma logique suivant :

Ecrire l’entité qui convient :


corriger

Vous aimerez peut-être aussi