Vous êtes sur la page 1sur 14

Utiliser QUARTUS

pour la conception de circuits


numériques FPGA
Serge Weber
Institut Jean Lamour
(Serge.Weber@univ-lorraine.fr)

Simulation par testbench


Analyse fonctionnelle simple
1
Exemple de circuit à simuler

2
Faire la synthèse logique (voir démarrage)

3
Simulation : configuration éventuelle

Le simulateur
utilisé est Modelsim
Il faut vérifier le chemin
d’accès à l’exécutable de
Modelsim

4
Simulation par Testbench

• Le testbench est une description en VHDL


des stimuli permettant de simuler le
composant à concevoir. Le testbench peut
inclure des vérifications

Composant
Stimuli sous test
Ex table de Et_ou_non.vhd
valeurs
+
vérification
des sorties
Testbench : et_ou_non.vht

5
Ecriture du Testbench
• Une fonction de simplification est prévue dans Quartus :
• Processing
-> Start
-> Start
testbench
Template writer

6
Ecriture du Testbench
• Ouvrir le testbench
ex : et_ou_non.vht

7
Ecriture du Testbench
Ajouter le fichier au projet
Project -> add current file to
project
Compléter le testbench avec
les stimuli ex :

BEGIN

a<= '0'; b<= '0' ;


wait for 100 ns;
a<= '1'; b<= '0' ;
wait for 200 ns ;
a<= '0'; b<= '1' ;
wait for 300 ns;
a<= '1'; b<= '1' ;
wait for 400 ns;
a<= '0'; b<= '0' ;
WAIT;

8
Exemple de testbench

9
Paramétrage pour simulation par testbench

• Assignments
• Settings…

10
Paramétrage pour simulation fonctionnelle
Test Benches : choisir new
Donner un nom au
test Bench

11
Paramétrage pour simulation fonctionnelle
• Changer le nom du Top level (mettre le nom de l’entité du
testbench) (dans l’exemple et_ou_non_vhd_tst )
• chercher le fichier .vht adéquat
• et l’ajouter avec ADD

12
Lancer la simulation fonctionnelle avec le
testbench

• Faire ok et relancer la simulation RTL

• Autre

13
Simulation Fonctionnelle

• Si tout est bien paramétré Modelsim


s’ouvre et lance le testbench qui va
produire les stimuli et afficher les sorties

14

Vous aimerez peut-être aussi