Vous êtes sur la page 1sur 226

UNIVERSITE DE SFAX

ECOLE NATIONALE D INGENIEURS DE SFAX




THESE

Prsente devant

L ECOLE NATIONALE D INGENIEURS DE SFAX

pour obtenir

LE GRADE DE DOCTEUR

Spcialit : Electronique

Par

Faiza KAMOUN CHARFI

Ingnieur Gnie lectrique


Surveillance des systmes lectroniques de puissance
embarqus dans le vhicule lectrique
-Mthodes et outils-



Soutenue le 11 Dcembre 2004 devant la commission dexamen :

Mr Lotfi Kamoun Prsident
Mme Ilhem Slama Belkhoja Rapporteur
Mr Nouri Masmoudi Rapporteur
Mr Fayal Sellami Directeur de thse
Mr Bruno Franois Co-Encadrant
Mr Kamal AL Haddad Co-Encadrant


Thse prpare en collaboration avec le laboratoire L2EP de lEcole Centrale de Lille (France) et
le laboratoire de recherche ETS-Bombardier Transport-Amrique du Nord (Canada Montral)

Remerciements

Ce travail a t effectu dans le Laboratoire dElectronique et des Technologies de
lInformation (L.E.T.I) de lEcole Nationale dIngnieur de Sfax (E.N.I.S) dirig par Monsieur
Lotfi Kamoun professeur lENIS, que je tiens remercier davoir bien voulu prsider ce
jury.
J e tiens exprimer ma profonde reconnaissance Monsieur Fayal Sellami professeur
lENIS, responsable de la formation doctorale et chef de lquipe lectronique de puissance,
davoir veill au bon droulement de mes travaux. Ses comptences scientifiques et ses
discussions fructueuses mont beaucoup apport.
Que Madame Ilhem Slama Belkhoja professeur lEcole Nationale dIngnieurs de Tunis,
trouve ici lexpression de ma profonde gratitude pour avoir accept dexaminer ce travail et
den tre le rapporteur. J e la remercie vivement davoir particip ce jury malgr ses
nombreuses proccupations.
J adresse mes vifs remerciements Monsieur Nouri Masmoudi professeur lENIS, pour
avoir accept dexaminer ce travail et den tre le rapporteur malgr les charges que lui
imposent ses nombreuses responsabilits.
J e tiens remercier Monsieur Bruno Franois, Matre de confrence lEcole Centrale de
Lille, pour ses qualits humaines et techniques. J e le remercie davoir bien voulu co-encadrer
ce travail avec rigueur et disponibilit.
J e suis sincrement reconnaissante Monsieur Kamal AL Haddad, professeur lEcole de
Technologie Suprieure de Montral Canada et co-encadreur des travaux de ma thse, pour
lintrt quil a port ce travail et pour lhonneur quil ma fait en participant ce jury.
De mme je tiens remercier Monsieur Mohamed Ben Messaoud, Matre assistant lENIS,
pour ses conseils pertinents et ses qualits humaines et scientifiques qui ont permis
laccomplissement de ce travail dans de bonnes conditions.
Que toutes les personnes qui mont apport leur aide, directement ou indirectement durant
toute cette priode, et qui mont permis de mener bien mes travaux trouvent ici mes sincres
remerciements.

SOMMAIRE

INTRODUCTION GENERALE.........................................................................................1

Chapitre I- METHODES DE SURVEILLANCE ET DE DIAGNOSTIC.........................7
I.1 Introduction....................................................................................................................11
I.2 Concepts gnraux..........................................................................................................12
I.2.1 Sret de fonctionnement.....................................................................................12
I.2.2 Dfaillances..........................................................................................................17
I.2.3 Classification des dfaillances..............................................................................19
I.2.4 Consquences des dfaillances : Dfauts et pannes...............................................20
I.2.5 Classification des dfauts et pannes......................................................................20
I.3 Concepts de diagnostic....................................................................................................21
I.3.1 Classification des mthodes de diagnostic.............................................................21
I.3.2 Mthode de traitement du signal ...........................................................................22
I.3.3 Diagnostic par modlisation et identification........................................................29
I.3.4 Diagnostic par modlisation fonctionnelle et matrielle........................................33
I.3.5 Diagnostic par rseaux de neurones......................................................................35
I.3.6 Diagnostic par les systmes experts......................................................................38
I.4 Concepts de surveillance.................................................................................................41
I.4.1 Pourquoi surveiller un systme ?..........................................................................42
I.4.2 Architecture gnrale............................................................................................42
I.4.3 Les diffrents niveaux de traitement : du signal la dcision................................43
I.4.4 Objectifs d'une " bonne " surveillance...................................................................45
I.4.5 Gnration des alarmes.........................................................................................46
I.5 Conclusion......................................................................................................................50

Chapitre II- MODELISATION ET SIMULATION.........................................................53
II.1 Introduction...................................................................................................................57
II.2 Le systme de puissance embarqu dans le V.E.............................................................58
II.2.1 Les nouveaux challenges.....................................................................................59
II.2.2 Les mcanismes de dfaillances dans les systmes de puissance..........................60
II.3 Les mthodes de modlisation.......................................................................................62
II.3.1 Insulated Gate Bipolar Transistor (IGBT) fonctionnement................................64
II.3.2 Modlisation en lectronique de puissance : tat de lart......................................72
II.3.3 Modle labor de l IGBT..................................................................................77
II.3.4 Diode de puissance..............................................................................................98
II.3.5 Modlisation comportementale de la diode........................................................106
II.3.6 Modlisation thermique.....................................................................................112
II.4 Simulation...................................................................................................................136
II.4.1 Modlisation du convertisseur statique de puissance..........................................137
II.4.2 Rsultats de simulation......................................................................................138
II.5 Conclusion...................................................................................................................140





Chapitre III- ESSAIS ET ANALYSES ...........................................................................143
III.1 Introduction................................................................................................................147
III.2 Description du banc dexprimentation.......................................................................147
III.2.1 Carte dacquisition dSPACE DS1103..............................................................152
III.2.2 Dispositifs dEntre /Sortie..............................................................................154
III.2.3 Programmation temps rel de la carte DS1103.................................................154
III.3 Essais exprimentaux.................................................................................................156
III.3.1 Condition exprimentale..................................................................................156
III.3.2 Validation en fonctionnement normal...............................................................157
III.3.3 Validation du mode dfaillant..........................................................................158
III.3.4 Rsultat dexprimentation...............................................................................158
III.3.5 Solution propose............................................................................................163
III.4 Analyse des dfaillances.............................................................................................163
III.4.1 Choix de la mthode danalyse.........................................................................163
III.4.2 Analyse Multirsolution...................................................................................164
III.4.3 Analyse des dfauts..........................................................................................170
III.4.4 Surveillance du systme...................................................................................170
III.4.5 Influence de linstant du dfaut........................................................................172
III.5 Exemples de cas de dfaillances.................................................................................175
III.5.1 Cas dune cellule de commutation....................................................................175
III.5.2 Cas de deux IGBTs..........................................................................................178
III.6 Conclusion.................................................................................................................181

CONCLUSION GENERALE..........................................................................................183

BIBLIOGRAPHIE189

ANNEXES .......................................................................................................................201
ANNEXE 1 : Revue sur les diffrents Modles d IGBT...................................................203
ANNEXE 2 : Revue sur les diffrents Modles de diodes.................................................206
ANNEXE 3 : Analyse structurale de l IGBT....................................................................207
ANNEXE 4 : Prsentation du logiciel de simulation des structures...................................209
ANNEXE 5 : Modlisation de la machine asynchrone......................................................215
ANNEXE 6 : Liste des symboles......................................................................................221

Introduction gnrale
1










INTRODUCTION GENERALE


























Introduction gnrale
2

































Introduction gnrale
3
Depuis plusieurs annes, les problmes lis lenvironnement et une meilleure qualit de
vie ont contribu au dveloppement du vhicule lectrique. La part prise par le vhicule
routier dans les missions polluantes et les nuisances sonores dans les mtropoles, ajoute aux
problmes lis la mobilit urbaine sont sans aucun doute importants. Ceci a donc pouss les
constructeurs proposer, en premire alternative, des vhicules lectriques de types urbains
malgr certains obstacles lis notamment leur autonomie.
Toute fois, pour inciter lusager, outre des mesures restrictives daccs au centre ville prises
dans certains pays, il est ncessaire de fournir un vhicule qui rponde aux mieux ses
attentes et qui puisse ainsi le pousser changer ses habitudes et sa mentalit. La sret de
fonctionnement est lune des exigences essentielles considrer vu les risques et les
consquences critiques que peuvent avoir les dfaillances en terme de vies humaines et de
pertes matrielles. A cet effet, les aspects scuritaires tiennent une place de choix dans le
projet vhicule lectrique.
Pour rpondre efficacement lensemble de ces objectifs, il est ncessaire de disposer tant au
niveau national quinternational dun outil de coordination et de mutualisation des efforts de
recherche.
La thse sest inscrite dans le cadre du projet vhicule lectrique entrepris au laboratoire
dlectronique et des technologies de linformation en collaboration avec le laboratoire L2EP
lcole central de Lille et le laboratoire de recherche ETS-Bombardier Transport-Amrique
du Nord Canada Montral.
Le but de la thse est de contribuer tablir un systme de surveillance du systme de
puissance embarqu dans le Vhicule Electrique.
Dans ce cadre, la chane de traction proprement dite, dfinie par lensemble convertisseur
lectronique, moteur lectrique et commande, est donc considrer comme un lment
distinct sintgrant dans lensemble des priphriques associs au vhicule.
La ncessit de bien connatre le systme passe par la capacit den valuer les performances
et les limites lors de la conception. Devant le manque du modle assez fin de convertisseurs
de puissance (systme de puissance) adapt ce type dtude, nous nous sommes diriges
vers la recherche de modle susceptible de rpondre aux contraintes de la physique. Ceci nous
a donc amens dfinir diffrents modles permettant de prendre en compte de manire plus
au moins fine les ralits physiques du dispositif semi-conducteur notamment les non-
linarits et les effets de couplage thermo lectriques. En effet, le composant de puissance, en
particulier lIGBT, constitue le maillon faible de la chane de puissance.
Introduction gnrale
4
Lutilisation de tel modle est assujettie la possibilit den identifier les paramtres
physiques ou reprsentatifs ncessaires. Ces outils de conception et danalyse vont tre les
bases dune seconde dmarche axe sur des tudes de fiabilit et de sret de fonctionnement
et plus particulirement pour lanalyse des rgimes de dfaut et leurs surveillances. Les
impratifs de fiabilit, de disponibilit et de scurit imposent ltude de causes et des
consquences de leurs dfauts. En particulier, les dfaillances des interrupteurs de puissance
dans londuleur ncessitent une analyse approfondie car leurs consquences sur le
fonctionnement de lassociation peuvent tre dramatiques et sont difficilement matrisables.
Ce travail sest articul autour de deux axes principaux qui sont la modlisation et la
surveillance/diagnostic par des approches complmentaires au traitement de signal du systme
de puissance. Le but est de dfinir des outils de conception et danalyse et par la mme, de
quantifier linfluence de certains phnomnes lis ce type dassociation.
Le premier chapitre est consacr une synthse des diffrentes mthodes de diagnostic et de
surveillance. Les concepts ainsi que la terminologie utilise dans les domaines de surveillance
et de sret de fonctionnement y sont prsents dans la premire partie.
La deuxime partie de ce chapitre expose des approches de diagnostic et de surveillance
utilises universellement ainsi que leurs limitations.
En troisime partie est dfinie une architecture gnrale dun systme de surveillance dans
lequel on trouve les fonctions de perception sappuyant sur les donnes acquises par les
capteurs du systme. Lorganisation gnrale des traitements est caractrise par plusieurs
niveaux depuis la gnration des alarmes, passant par linterprtation (filtrage, diagnostic,
localisation), finissant par laide la dcision aprs indentification des pannes.
Les diffrents modles servant de base la comprhension et lanalyse du systme sont
dvelopps au cours du deuxime chapitre. Un modle de commutation de londuleur
IGBTs est mis en uvre partir de modles comportementaux de lIGBT et de diode en
antiparallle. Il permet de prendre en compte les effets des temps morts pour la synthse de la
commande. Pour une approche plus raliste, la modlisation comportementale est utilise
pour les interrupteurs de puissance permettant de quantifier linfluence des phnomnes
physiques et de prendre en compte les non-linarits des semi conducteurs notamment la
rsistance dynamique. Lidentification des paramtres est ralise soit partir de dfinitions
analytiques lies aux calculs de prdimensionnement soit partir de donnes de constructeurs.
Le phnomne de recouvrement inverse est intgr dans le modle de la diode en antiparallle
pour la prise en compte des dissipations de puissance lors de la fermeture de la diode.
Introduction gnrale
5
Une partie de ces travaux a t consacre la validation des rsultats thoriques et la
recherche de mthodes souples et rapides mettre en uvre pour le diagnostic et la
surveillance de notre systme.
La validation de la mthodologie est prsente au troisime chapitre et vrifie par une
association de puissance (association onduleur-machine asynchrone) laide dun banc
dessai exprimental construit autour d'un DSPACE 1103. Les premiers rsultats concernent
le rgime tabli et le rgime de dfaut douverture dun IGBT de londuleur. Ils prouvent la
validit de la mthodologie.
Par ailleurs, un accent particulier est port en fin du troisime chapitre aux nouvelles
techniques de traitement de signal notamment les techniques dOndelettes, pour ltude des
signaux non stationnaires, afin d'analyser les dfaillances dans le systme.
Nous terminons en donnant des exemples dexploitation de notre modle pour le diagnostic et
la surveillance du systme de puissance notamment en rgime dgrad.





















Introduction gnrale
6










Chapitre I- Mthodes de surveillance et de diagnostic

7



















Chapitre I

METHODES DE SURVEILLANCE ET DE
DIAGNOSTIC






















Chapitre I- Mthodes de surveillance et de diagnostic

8


















































Chapitre I- Mthodes de surveillance et de diagnostic

9







Sommaire I


Chapitre I- METHODES DE SURVEILLANCE ET DE DIAGNOSTIC .......................... 7
I.1 Introduction ........................................................................................................................ 11
I.2 Concepts gnraux .............................................................................................................. 12
I.2.1 Sret de fonctionnement ........................................................................................ 12
I.2.2 Dfaillances .............................................................................................................. 17
I.2.3 Classification des dfaillances ................................................................................. 19
I.2.4 Consquences des dfaillances : Dfauts et pannes ................................................. 20
I.2.5 Classification des dfauts et pannes ......................................................................... 20
I.3 Concepts de diagnostic ....................................................................................................... 21
I.3.1 Classification des mthodes de diagnostic ............................................................... 21
I.3.2 Mthode de traitement du signal .............................................................................. 22
I.3.3 Diagnostic par modlisation et identification .......................................................... 29
I.3.4 Diagnostic par modlisation fonctionnelle et matrielle ......................................... 33
I.3.5 Diagnostic par rseaux de neurones ......................................................................... 35
I.3.6 Diagnostic par les systmes experts ......................................................................... 38
I.4 Concepts de surveillance .................................................................................................... 41
I.4.1 Pourquoi surveiller un systme ? ............................................................................. 42
I.4.2 Architecture gnrale ............................................................................................... 42
I.4.3 Les diffrents niveaux de traitement : du signal la dcision ................................. 43
I.4.4 Objectifs d'une " bonne " surveillance ..................................................................... 45
I.4.5 Gnration des alarmes ............................................................................................ 46
I.5 Conclusion .......................................................................................................................... 50














Chapitre I- Mthodes de surveillance et de diagnostic

10





























Chapitre I- Mthodes de surveillance et de diagnostic

11
I.1 Introduction

Lun des enjeux les plus importants dans le domaine de lautomobile concerne aujourdhui
laugmentation de la fiabilit. Pour atteindre un tel objectif, il faudrait mettre en uvre un
systme de surveillance capable dassurer un tat de fonctionnement tout instant. La
visualisation dinformation bas niveau est nglige car la plupart des signaux sont
compars en permanence des valeurs limites admissibles, soient des valeurs absolues du
signal, soient des gradients (tension, courant, temprature). Le scnario frquemment adopt
est le suivant : loprateur choisit le synoptique (terminaux graphiques) visualisant
linformation dtaille concernant ce signal et il dcide ensuite aprs analyse, de laction
entreprendre : cest le monitoring.
La demande croissante de fiabilit avec un fonctionnement moins onreux et plus cologique
justifie lintrt grandissant port des mthodes avances de pilotage incluant des techniques
performantes de dtection de dfauts.
Un dfaut doit tre dtect ds son apparition, ensuite localis et sa cause doit tre identifie.
Cette tape concerne la supervision. Elle utilise lensemble des informations disponibles au
moyen de modles explicites ou implicites. Lobjet de la supervision est de signaler les modes
de fonctionnements dfaillants et de prendre les dcisions appropries de faon viter une
dgradation des performances du systme pouvant conduire un accident. Un dfaut est peru
comme une dviation des caractristiques normales des capteurs, des actionneurs ou de tout
autre quipement constitutif du systme. Ces dviations doivent tre dtectes si elles
affectent les grandeurs de sortie du systme par la fonction monitoring. Les variables
mesures sont compares des seuils limites et des alarmes sont dclenches au dpassement
des limites. A partir de ces alarmes, loprateur met en uvre des actions appropries pour
mener les variables incrimines leurs plages de fonctionnement normales. En cas de
violation de ces limites, entranant le systme dans un tat de danger, laction de scurit doit
tre effectue. Ces deux fonctions de monitoring et de scurit peuvent sappliquer sur les
signaux acquis directement sur le systme ou sur le rsultat de traitement lmentaire portant
sur ces signaux tel que le spectre de frquence. Pour amliorer la supervision des systmes,
une premire tape consiste placer les capteurs de manire obtenir une image plus prcise
du processus surveiller. La deuxime tape consiste lexploitation des rsultats
exprimentaux et des connaissances des oprateurs reprsents sous la forme d'une base de
connaissances en utilisant un systme expert. Ltape suivante concerne lvaluation des
dfauts pour les classer selon leur incidence. Cette analyse conduit des actions appropries.
Chapitre I- Mthodes de surveillance et de diagnostic

12
Si le dfaut est tolrable le systme peut continuer fonctionner. Le dfaut peut tre
conditionnellement tolrable, dans ce cas une modification de la loi de commande sous la
forme d'une reconfiguration dune partie du systme de commande doit tre ralise ou une
maintenance doit tre effectue. Enfin, dans le cas dun dfaut inacceptable, on procde
larrt immdiat du systme et une opration de rparation.
Les mthodes de surveillance et de diagnostic se rpartissent en deux grandes classes .La
premire classe utilise les redondances informatiques (modle en parallle) et la connaissance
fournie par le modle pour caractriser le mode de fonctionnement ou ltat du systme. La
deuxime classe concerne lanalyse des donnes fournies par le systme qui permet de dcider
de son tat. Les approches utilises font alors appel des procdures dapprentissage ou
lintelligence artificielle.
I.2 Concepts gnraux

La surveillance et le diagnostic stendent divers domaines. Ils concernent les systmes
embarqus comme les installations complexes. Avant daborder les mthodes utilises, il
est primordial de dfinir les concepts gnraux qui mettent en uvre le caractre gnrique de
la sret de fonctionnement et la fiabilit des systmes.
I.2.1 Sret de fonctionnement

La sret de fonctionnement est devenue une proccupation majeure dans la conception, le
dveloppement, la validation et lexploitation de systmes dont les dfaillances peuvent avoir
un impact inacceptable sur le fonctionnement, la scurit des hommes et du matriel et
lenvironnement.
Introduire les considrations de sret dans la conception et lexploitation des systmes
entrane un cot conomique directement chiffrable, les bnfices induits le sont beaucoup
moins, puisque les incidents ou accidents vits ne sont pas directement visibles. Pour inciter
les acteurs dvelopper une dmarche volontaire tourne vers la sret de fonctionnement, il
est indispensable, dune part, de dvelopper des techniques et des mthodologies
dapplication qui produisent des systmes performants, d'autre part, de dvelopper en
parallle des mthodes danalyse et dvaluation qui permettent de certifier et de quantifier
ces performances.
Toutefois, la dure de vie des systmes est une variable alatoire. En effet, certains systmes
peuvent prsenter des anomalies prmaturment. Il est donc ncessaire dutiliser des
Chapitre I- Mthodes de surveillance et de diagnostic

13
grandeurs moyennes et autres mesures de variabilit pour estimer la dure de vie, la priode
de garantie quon peut accorder au client,
Souvent la qualit des oprations de diagnostic est quantifie par des probabilits et des
distributions associes notamment la fiabilit et la disponibilit.
La sret de fonctionnement se caractrise la fois par ltude structurelle (statistique) et
dynamique des systmes du point de vue prvisionnel, oprationnel et exprimental en tenant
compte des aspects probabilits et consquences des dfaillances. Cette discipline consiste
connatre, valuer, prvoir, mesurer les dfaillances des systmes [ARLA 99].
La sret de fonctionnement intervient dans toutes les tapes de ralisation dun systme
notamment dans la conception et linterconnexion des sous-systmes
Fiabilit
La fiabilit est dfinie comme laptitude dune entit accomplir une fonction requise, dans
des conditions donnes pendant un intervalle de temps donn [ZWIN 95].
La fiabilit est galement la probabilit associe R(t). Le temps moyen de fonctionnement
avant la premire dfaillance MTTF (Mean Time To Failure) est souvent associ la fiabilit.
Il dsigne le temps moyen avant la premire dfaillance (Figure I-2).
Ce sont essentiellement les tudes de fiabilit qui sont sources de connaissances sur le
mauvais fonctionnement et qui sont utilises pour le diagnostic [BOUR 01].
Disponibilit :
La disponibilit est dfinie comme tant laptitude dune entit tre en tat daccomplir une
fonction requise dans des conditions donnes, un instant donn ou pendant un intervalle de
temps donn, en supposant que la fourniture des moyens extrieurs ncessaires soit assure.
La figure I-1 rsume les composantes de la disponibilit.
La disponibilit correspond la probabilit associ A(t) de non dfaillance du systme ou du
composant. Quelques grandeurs associes la disponibilit sont souvent utilises :
- le temps moyen de disponibilit TMD et la dure moyenne de fonctionnement aprs
rparation MUT (Mean Up Time) (Figure I-2).
- le temps moyen dindisponibilit MDT (Mean Down Time) qui est la dure moyenne
entre linstant de dfaillance et la remise en service.
Chapitre I- Mthodes de surveillance et de diagnostic

14


DISPONIBILITE
Objectif de cot
Cot dinvestissement
Cot dexploitation
Conception de maintenance
Maintenance corrective
Maintenance prventive
Diagnostic
Fiabilit
Analyse fonctionnelle
Fiabilit des systmes et
des matriels
Maintenabilit
Modularit, Testabilit,
zones daccs,
vulnrabilit
Logistique de soutien
Personnel, formation,
documentation, pices de
rechange, outillage.


Fig.I-1 Contribution des techniques de diagnostic la disponibilit

Maintenance :
La maintenance est dfinie comme une combinaison dactivits techniques et administratives
et de management. Ces activits sont destines maintenir ou rtablir un bien dans un tat
ou dans des conditions donnes de sret de fonctionnement, pour accomplir une fonction
requise. On distingue deux types de maintenance :
La maintenance prventive qui regroupe la maintenance prvisionnelle, conditionnelle et
systmatique.
La maintenance corrective renfermant la maintenance curative et palliative.
Maintenabilit
La maintenabilit est laptitude dune entit tre maintenue ou rtablie, sur un intervalle de
temps donn, dans un tat dans lequel elle peut accomplir une fonction requise lorsque la
Chapitre I- Mthodes de surveillance et de diagnostic

15
maintenance est accomplie dans des conditions donnes avec des procdures et des moyens
prescrits.
La maintenabilit est caractrise par une probabilit M(t) qui exprime que la maintenance
dun systme est acheve au temps t.
Les grandeurs associes la maintenabilit souvent utilises sont :
- Le temps moyen entre le dbut et la fin de la rparation MTTR (Mean Time To Repair);
- Le temps moyen de fonctionnement entre deux dfaillances MTBF (Mean Time Between
Failure).
Scurit
La scurit est laptitude dune entit viter de faire apparatre, dans des conditions donnes
des vnements critiques ou catastrophiques.
Actuellement, lvaluation de la scurit est limite quelques secteurs. Elle se base sur les
tudes statistiques des impacts des accidents rels, expriments ou simuls.
Pour prciser les dfinitions des grandeurs moyennes utilises tout au long de ce parcours,
deux schmas sont prsents sur les deux figures I-2 et I-3.

Fig. I-2 Dfinitions graphiques du MTTF, MDT, MUT et MTBF


Fig. I-3 Dfinitions graphiques du MTTR et MDT (Maintenabilit)
Chapitre I- Mthodes de surveillance et de diagnostic

16
Dfaillances
La dfaillance est dfinie comme la cessation des aptitudes dun dispositif accomplir une
fonction requise. Elles peuvent se manifester par plusieurs symptmes appels modes de
dfaillance.
Un exemple de dfinition selon la norme AFNOR est : Laltration ou la cessation de
laptitude dun ensemble accomplir ses fonctions requises avec des performances dfinies
dans les spcifications techniques .
Dfauts
Les dfaillances sont causes par des dfauts via leur activation ou leur occurrence [KANO
01]. On distingue gnralement les dfauts physiques (rsultant de dysfonctionnements
matriels), les dfauts de conception (rsultant derreurs commises durant le dveloppement
des systmes), les dfauts dinteraction (rsultant derreurs dans la conduite ou lutilisation
oprationnelle des systmes, ou dans leur maintenance). Alors que les dfauts physiques sont
par nature accidentelle, les dfauts de conception et dinteraction peuvent tre accidentels, ou
rsultants dune dcision consciente, sans ou avec intention nuisible, auquel cas il sagit de
malveillances. Ainsi, la protection des systmes fait partie intgrante du domaine de la sret
de fonctionnement. La protection concerne toutes les composantes dun systme sur les
diffrents niveaux. Elle sacquiert grce lutilisation combine dun ensemble de mthodes
qui sont la prvention des dfauts, la tolrance aux dfauts, llimination des dfauts et la
prvision des dfauts.
- La prvention des dfauts vise empcher loccurrence ou lintroduction de fautes. Dans
le cas du composant de puissance, elle conduit par exemple au durcissement des couches
dans les technologies MOS afin dviter les claquages lectriques.
- La tolrance aux dfauts a pour but de permettre un systme de remplir sa fonction en
dpit des dfauts. La tolrance aux dfauts physiques concerne les perturbations dues
lenvironnement, aux dfauts de conception, dinteraction et aux malveillances.
- La prvision des dfauts consiste estimer par modlisation ou par exprimentation la
prsence, la cration et les consquences des dfauts. Elle a comme objectif lvaluation
de la sret de fonctionnement et des performances.
On relve dans la bibliographie des travaux de recherche sur la tolrance aux dfauts
notamment dans les composants et circuits intgrs de puissance.
La protection des composants de puissance est un souci majeur. En effet, de tels dispositifs
doivent pouvoir continuer fonctionner aprs de graves dfauts tels quun court-circuit ou
une inversion de batterie qui sont susceptibles de se produire, par exemple, en environnement
Chapitre I- Mthodes de surveillance et de diagnostic

17
automobile. Avant lavnement des circuits intgrs de puissance, la protection se limitait
rajouter des lments externes. Actuellement, pour des raisons de cot et de rduction des
dimensions, elle consiste intgrer la protection sur la puce de silicium. Ces protections
concernent llvation excessive de temprature, les forts courants, linversion de polarit, les
courts-circuits, etc Elles visent protger le composant de puissance qui, dans ces
conditions svres de fonctionnement, risque dtre fatalement endommag.
I.2.2 Dfaillances

Les dfaillances, dfinies prcdemment, peuvent tre classes selon diffrents critres. Leurs
causes sont dfinies par les circonstances lies la conception, la fabrication ou le mode
demploi Fig.I-4. Le diagnostic recherche lorigine de la dfaillance dun systme [VILL 88].


Chapitre I- Mthodes de surveillance et de diagnostic

18



E
r
r
e
u
r
s

h
u
m
a
i
n
e
s

E
n
v
i
r
o
n
n
e
m
e
n
t

A
u
t
r
e
s

c
o
m
p
o
s
a
n
t
s

V
i
e
i
l
l
i
s
s
e
m
e
n
t

C
o
n
c
e
p
t
i
o
n

F
a
b
r
i
c
a
t
i
o
n

I
n
s
t
a
l
l
a
t
i
o
n

C
o
n
c
e
p
t
i
o
n

o
u

f
a
b
r
i
c
a
t
i
o
n

d

f
e
c
t
u
e
u
s
e

R
e
s
p
e
c
t

d
u

d
i
m
e
n
s
i
o
n
n
e
m
e
n
t

C
o
n
d
i
t
i
o
n
s

e
t

c
o
n
t
r
a
i
n
t
e
s

e
x
c
e
s
s
i
v
e
s

S
i
g
n
a
u
x

i
n
c
o
r
r
e
c
t
s

d
e

c
d
e

e
t

d
e

c
o
n
t
r

l
e

D

f
a
i
l
l
a
n
c
e

s
e
c
o
n
d
e

D

f
a
i
l
l
a
n
c
e

d
e

c
d
e
.

D

f
a
i
l
l
a
n
c
e

d
u

c
o
m
p
o
s
a
n
t

D

f
a
i
l
l
a
n
c
e

p
r
e
m
i

r
e

F
i
g
.
I
-
4

S
o
u
r
c
e
s

d
e

d

f
a
i
l
l
a
n
c
e
s

d

u
n

c
o
m
p
o
s
a
n
t
.

Chapitre I- Mthodes de surveillance et de diagnostic

19
I.2.3 Classification des dfaillances

On distingue plusieurs catgories de dfaillance qui dpendent soit de la vitesse dapparition
soit de son degr ou des deux.
La classification des dfaillances en fonction du degr regroupe [ZWIN 95] :
- La dfaillance partielle, qui est la dviation des caractristiques au del des limites du
bon fonctionnement sans altrer la fonction.
- Dfaillance complte, qui est la dviation des caractristiques telle quelle entrane la
disparition de la fonction
- Dfaillance intermittente telle que les aptitudes sont retrouves aprs le dfaut.
Classification des dfaillances en fonction de la vitesse dapparition :
- Dfaillance soudaine qui ne peut tre prvue par un examen ou une surveillance.
- Dfaillance progressive qui peut tre prvue par un contrle ou une surveillance.
Classification des dfaillances en fonction de la vitesse dapparition et du degr :
- Dfaillance cataleptique qui est la fois soudaine et incomplte.
- Dfaillance par dgradation qui est progressive et partielle.
La notion de temps est importante dans la classification des dfaillances. Le taux de
dfaillances ( ) t est lune des grandeurs fondamentales souvent utilise pour caractriser la
dfaillance dun composant.
( ) t est la densit de probabilit conditionnelle exprime par:
( )
( )
( ) ( )
( ) ( ) ( )
( )
( )
(

=
A
A +
=
A
t d
t dR
t R t t R
t t R t R
t
t
1
lim
0
(Eq I.1)
o R(t) est la loi de fiabilit.
Par exemple pour les systmes lectroniques dont la loi R(t) est donne par une loi
exponentielle :
( )
t
e t R
0

= (
0
est une constante). (Eq I.2)
Le taux de dfaillance instantane ( ) t est gale
0
au cours du temps
Le taux de remise en service ( ) t est une densit de probabilit conditionnelle qui scrit :
( )
( )
( ) ( )
( ) ( ) ( ) ( ) ( )
( )
( )
(

=
A
A +
=
A
t d
t dM
t M t t M
t M t t M
t
t
1
1
1
lim
0
(Eq I.3)
o M(t) est la fonction de maintenabilit.
Dans de nombreux dispositifs, le taux de dfaillance suit la courbe dite "en baignoire"
reprsente sur la Fig. I-5.
Chapitre I- Mthodes de surveillance et de diagnostic

20



Fig. I-5 Evolution du taux de dfaillance dite "courbe en baignoire"
I.2.4 Consquences des dfaillances : Dfauts et pannes

La diversit des activits de diagnostic conduit employer assez souvent les termes de pannes
et de dfauts, si bien que la diffrence entre les concepts de dfaillance, dfaut et panne est
parfois trs subtile. Une panne rsulte dune dfaillance. Elle est dfinie comme linaptitude
accomplir une fonction requise. Les classifications des pannes son similaires celles des
dfaillances.
I.2.5 Classification des dfauts et pannes

Une panne peut tre intermittente, fugitive, permanente ou multiple.
Panne intermittente : panne subsistant pendant une dure limite aprs laquelle le systme
redevient apte accomplir une fonction requise.
Panne fugitive : panne intermittente et difficilement constatable.
Panne permanente : panne dun systme qui persiste tant que nont pas eu lieu des oprations
de maintenance corrective.
Panne multiple : prsence de plusieurs pannes simultanment.
Par ailleurs, le dfaut est dfini comme tant lcart entre la caractristique observe sur le
dispositif et la caractristique de rfrence lorsque celui-ci est en dehors des spcifications.
(1)
(3)
(2)
Priode de dfaillance
taux constant
Priode de
dfaillance
prcoce
Priode de
dfaillance
dusure
Temps
(t)
Chapitre I- Mthodes de surveillance et de diagnostic

21
I.3 Concepts de diagnostic

Ethymologiquement, le terme diagnostic est dorigine grecque (dia : par, gnosis :
connaissance).
Le diagnostic est dfini essentiellement par deux taches :
- lobservation des symptmes de la dfaillance,
- lidentification de leurs causes laide dun raisonnement logique.
Dune manire gnrale, le diagnostic peut se rduire un problme de rsolution inverse
entre la cause et son effet, qui est formul par une relation dterministe, en considrant
lespace des paramtres inconnus qui sont les causes de dfauts et lespace des grandeurs
observables qui sont les symptmes.
De par mme la dfinition du terme diagnostic, ce terme dsigne laction de dterminer la
cause premire dun dfaut. La notion de causalit est ainsi trs lie au diagnostic.
Le diagnostic est lun des facteurs contribuant la disponibilit des systmes qui est une
composante de la sret de fonctionnement.
Une varit de mthodes de diagnostic existe. La slection de la mthode de diagnostic la plus
approprie un systme est lie au recensement des besoins et des connaissances disponibles
[MAQU 00]. Les lments dterminants ltude sont :
- Nature des causes de dfaillance localiser,
- Connaissance des symptmes associs aux dfaillances induites par les causes,
- Matrise des moyens de mesure des symptmes,
- Matrise des moyens de traitement des symptmes,
- Connaissance des mcanismes physiques entre les causes et les effets,
- Recensement des expertises disponibles,
- Inventaire des retours dexpriences.
I.3.1 Classification des mthodes de diagnostic

Lopration de diagnostic est dfinie comme une identification de la cause probable de
dfaillances par un raisonnement logique bas sur un ensemble dinformations recueillies
partir des tests de contrle. Lutilisation des connaissances sur le systme permet de
caractriser les dfaillances par leurs symptmes ou leurs effets.
Il existe une grande diversit de mthodes de diagnostic qui est base sur la modlisation
complte des dfaillances et des dgradations ou au contraire sur les connaissances des
experts sans la modlisation des mcanismes de propagation des dgradations.
Chapitre I- Mthodes de surveillance et de diagnostic

22
La taxinomie des mthodes de diagnostic permet une premire classification en plusieurs
grandes familles [ZWIN 95] :
Les mthodes internes impliquent une connaissance approfondie du fonctionnement sous
forme de modles mathmatiques valids exprimentalement. Ces mthodes de diagnostic
internes dont le principe est illustr sur la Fig.I-6 comprennent la mthode du modle, les
mthodes didentification de paramtres et les mthodes destimation du vecteur dtat.

Fig.I-6 Principe du diagnostic interne

Les mthodes externes supposent quaucun modle nest disponible pour dcrire les relations
de causalit. La seule connaissance, dont on dispose, est lexpertise humaine. Cette
connaissance est associe aux dfaillances aprs interprtation des diffrentes signatures.
Les mthodes de diagnostic externe regroupent trois familles :
- Les rseaux de neurones artificiels
- Les systmes experts.
- La reconnaissance des formes
Les mthodes inductives et dductives : cette classification est base sur le mode de
raisonnement utilis pour remonter la cause de la dfaillance.
I.3.2 Mthode de traitement du signal

A partir des signaux fournis par les capteurs, les systmes de traitement de linformation vont
extraire linformation la plus utile et la plus pertinente des fins de diagnostic. Ces signaux
u
Entre
y
Sortie

Systme
u, x
Estimation de
paramtres ou
dtat
u (t), x (t)
Modle
simplifi
Chapitre I- Mthodes de surveillance et de diagnostic

23
peuvent tre dpendants ou indpendants du temps, monodimensionnels (exemple : signaux
vibratoires, signaux de temprature) ou bidimensionnels.
Le diagnostic dune dfaillance seffectue en deux tapes, la premire consiste la dtection
du fonctionnement dfaillant ensuite on identifie la cause de la dfaillance laide dun
raisonnement logique. Souvent une signature de rfrence, dans les domaines temporels,
frquentiels et statistiques est utilise pour dtecter lcart par comparaison aux signatures
observes.
Ainsi le traitement du signal joue un rle important pour caractriser les divers modes de
fonctionnement par des signatures. Nanmoins le signal, issu des capteurs et de leurs chanes
dinstrumentations associes, est souvent entach de bruit. En effet le signal utilis est la
rsultante dune composante dterministe du signal x
d
et une composante alatoire x
a
. Le
schma de principe dune chane de mesure, implant dans le contexte dune application de
diagnostic, est montr sur la fig. I-7, x tant le signal originel mesurer.
La prise dimpulsion constitue un moyen de couplage entre la grandeur physique et le capteur.
Ce dernier sert transformer la variable physique en une grandeur exploitable notamment
lectrique qui sera transmise par la ligne de transmission vers le rcepteur pour le dcodage et
la conversion. Enfin, le module de traitement de signal fournit le signal trait x ncessaire
la caractrisation du dfaut. Par ailleurs, chaque maillon de la chane dinstrumentation peut
tre une source de bruits et de dgradation du signal [RIPO 99].
La contribution de ces sources de bruits et de distorsion du signal initial (rel) est
formule par :
( )
j i
k
k
d b x x ,

+ = |
b
i
: bruit du processus
d
j
: perturbation apporte par la prise de mesure
k
| : fonction de propagation des bruits et distorsion.




Chapitre I- Mthodes de surveillance et de diagnostic

24

Fig. I-7 Schma de principe dune chane de mesure pour le diagnostic

En gnral, les mthodes de diagnostic reposent sur la caractrisation des signaux en fonction
de leurs proprits temporelles, frquentielles ou statistiques.
En traitement de signal, plusieurs outils sont extrmement importants tel que le produit de
convolution pour le filtrage des signaux, le rapport signal sur bruit pour connatre le degr de
qualit dun signal dterministe et la corrlation pour la caractrisation spectrale.
PROCESSUS
Prises dimpulsion
b1
d1
Prises dimpulsion
Grandeur physique
Capteur
Convertisseur
b2
d2
b3
d3
Grandeur lectrique
b4
d4
b5
X
X
PRELEVER
TRANSFORMER
CONVERTIR
TRANSMETTRE
RESTITUER
TRAITER
Signal trait x
x
Traitement du
signal
Transmission
Rcepteur
Signal image
Signal image
Caractristique
pour le
diagnostic
Chapitre I- Mthodes de surveillance et de diagnostic

25
La modlisation de signaux dterministes des fins de diagnostic et de surveillance fait appel
divers outils mathmatiques applicables dans les domaines temporels et frquentiels tel que
la transforme de Fourier, la transforme de Laplace, la transforme en z et la transformation
de Hilbert etc...
La connaissance de la densit spectrale dun signal dterministe est un moyen de caractriser
linformation utile et la bande de frquence correspondante. Les oprations de filtrage sont
aussi utilises pour extraire une partie des informations dun signal dans un domaine
frquentiel spcifique.
En pratique, le diagnostic base de techniques de traitement du signal se ralise sur une dure
finie. De ce fait, lvaluation par lune des mthodes de transformation sur un intervalle de
temps infini ne concorde pas avec les caractristiques pratiques calcules partir de
squences finies. Cette source derreur peut compromettre une interprtation correcte des
rsultats fournis par les algorithmes.
Donc, pour les calculs pratiques des caractristiques des signaux, les transformes de Fourier
discrte et de Fourier rapide sont souvent utiliss pour le calcul des caractristiques spectrales
des signaux laide de systmes numriques. La notion destimateurs savre aussi utile dans
la pratique du diagnostic. On cite lexemple de la surveillance de la temprature du palier
dune machine tournante dont le principe consiste relever, intervalle rgulier, la
temprature moyenne u laide dun thermocouple et de comparer les relevs une valeur
moyenne de rfrence
0
u pour la prise de dcision. Le problme gnral de lestimation rside
dans linaccessibilit du paramtre surveiller qui est entach de bruit. La rsolution du
problme de lestimation consiste trouver la meilleure approximation du paramtre u base
sur un choix judicieux de fonctions destimation rpondant un certain nombre de proprits.
Parfois les outils classiques de traitement du signal savrent inadapts lanalyse de certains
signaux o lhypothse de stationnarit nest pas vrifie.
Pour rsoudre ce problme, il faut introduire le concept de non stationnarit. Les signaux non
stationnaires ont des proprits structurelles dpendantes du temps. Cette dpendance
temporelle des signaux provient de diverses situations, par exemple, un systme soumis une
excitation stationnaire qui nest plus caractris par des paramtres constants. Ce phnomne
se rencontre lors du vieillissement des composants lectroniques de puissance (par exemple la
tension de seuil des semi-conducteurs change ainsi que dautres paramtres).
Chapitre I- Mthodes de surveillance et de diagnostic

26
La grande diversit des outils ddis la caractrisation des signaux non stationnaires rend
difficile la comparaison entre les diffrentes approches. Nanmoins, on distingue deux
grandes familles doutils :
- La famille daide la comprhension de la structure dun signal.
- La famille doutils utilisable pour la prise de dcision aprs interprtation des
caractristiques du signal
Lanalyse des spcificits des signaux non stationnaires fait ressortir trois catgories distinctes
de reprsentation : les structures frquentielles, temporelles et les structures conjointes ou
dchelle qui allient les deux aspects.
De manire gnrale, un signal non stationnaire est une superposition de phnomnes
temporels et frquentiels. La transformation de Wigner-Ville savre un outil efficace pour les
signaux superposition de phnomnes temporels et frquentiels. Cependant, le mode de
reprsentation structure dchelle est trs utilis pour dtecter des phnomnes qui se
droulent sur des chelles de frquences distinctes.
La transformation en Ondelettes est une technique bien adapte lanalyse de ce type de
signaux [DAUB 92]. Le principe consiste dcomposer le signal laide de fonction
analysantes particulires, partir dune fonction de base d'une Ondelette mre ( ) t , qui
possdent deux proprits particulires. La premire proprit impose que ( ) t soit localise
et oscillante, ce qui conduit la condition quelle soit dcroissance rapide quand t . La
seconde est :
( ) ( ) ( )dt t t dt t t dt t
m

} } }
+

+

+

= = =
1
.... (Eq I.4)
Un exemple dune Ondelette mre est :
( )
t j
c
t
e e t
0
2
e


= (Eq I.5)
A partir de lOndelette mre , il est possible de crer des Ondelettes analysantes
( )
( ) t
b a,

centres autours de b et chelle variable dfinie par le paramtre a.
( )
( )
|
.
|

\
|
=
a
b t
a
t
b a

1
,
(Eq I.6)
a et b sont constantes.
La forme gnrale de la transformation en ondelettes ( ) b a T
x
, est donne par :
Chapitre I- Mthodes de surveillance et de diagnostic

27
( ) ( ) dt
a
b t
t x
a
b a T
x
|
.
|

\
|
=
}
+


1
, (Eq I.7)
Daprs cette formule, la dcomposition en Ondelettes revient faire le produit de
convolution du signal x(t) avec la fonction ( ) t dans le domaine temporel et, par consquent,
le produit X(f) . ( ) f dans le domaine frquentiel.
La transformation en Ondelettes continue est linaire. Sa transformation inverse ( ) b a T
x
,
1

permet de revenir au signal original.
( ) ( )dadb b a T
a
b t
a
k
t x
x
,
} }
+

+

|
.
|

\
|
= (Eq I.8)
A la diffrence de la transformation de Fourier, la technique des Ondelettes utilise la
dilatation et la contraction du motif de lOndelette originale. Do la notion dchelle de
dilatation et contraction (analyse multichelle). Du point de vue de la dtection, la
transforme en Ondelette peut tre interprte comme un filtrage multichelle. En effet, pour
diffrentes versions dilates dune forme connue a priori, on cherche les instants o le signal
ressemble le plus cette forme.
D'un point de vue nergtique, il existe une relation entre la transforme en Ondelettes et la
transforme de Wigner-Ville qui est la suivante :
( ) ( ) dudv
a
b u
W v u W b a T
x x x
|
.
|

\
|
=
} }
+

+

, ,
2
(Eq I.9)
Les fonctions analysantes de Gabor sont drives dune fonction mre constitue dune
courbe gaussienne module par une fonction cosinus appele la fonction analysante [MEYE
92].
( ) t cos e t
c
t
0
2
e

= (Eq I.10)
A partir de cette fonction mre, on projette le signal x(t) sur une base de fonctions :
( )( ) ( )
a
b t
a
t b , a

=
1
(Eq I.11)
O le paramtre a est un coefficient de dilatation qui permet de dterminer lchelle du temps
dobservation. Le paramtre b correspond au dcalage de la fonction analysante. La variation
du paramtre b permet de dplacer la fonction analysante le long de laxe des temps.
Morlet a mis au point des fonctions analysantes
(a,b)
(t) partir de la fonction gnratrice
suivante.
Chapitre I- Mthodes de surveillance et de diagnostic

28
( ) t n
n
e e e t
t
t
0
2
cos
4
2
2
2 2
0
2 2
0
e
e
e
|
|
.
|

\
|

=

(Eq I.12)
La figure I-8 reprsente deux fonctions analysantes dans le domaine temporel pour lordre
n=4 et n=10.


Fig I-8 Ondelettes de Morlet

Les Ondelettes de Morlet ont des rponses frquentielles qui correspondent des filtres passe
bande dont lamplitude est gaussienne. Il est noter que la transformation en Ondelette ne
peut tre calcule en temps rel. En pratique, on enregistre le signal dans son intgralit puis
on procde au calcul de la transformation par Ondelettes.
La Fig I-9 illustre lapplication de la transformation en Ondelettes de Morlet pour la dtection
dune impulsion noye dans un bruit. Lutilisation de la transformation en Ondelettes de
Morlet dans cet exemple montre la puissance dun tel outil pour la dtection de singularit. En
effet, le coefficient dOndelette pour 5 Hz a une amplitude leve comparativement aux autres
coefficients.
Chapitre I- Mthodes de surveillance et de diagnostic

29



Fig I-9 Application des Ondelettes la dtection dune impulsion noye dans du bruit
I.3.3 Diagnostic par modlisation et identification

Le diagnostic par la modlisation appartient aux mthodes de diagnostic interne
conformment la classification ci-dessus. Le principe de la dtection repose sur la
comparaison des paramtres mesurs avec les paramtres associs un fonctionnement
normal. Les paramtres reprsentatifs du processus sobtiennent par la mthode
didentification [FUSS 98]. Lidentification est la dtermination partir de la connaissance
des signaux dentres et de sorties, dun modle mathmatique appartenant une classe
donne (comportementale, fonctionnelle) pour laquelle, les comportements dynamiques
ou statiques sont quivalents ceux du processus au sens dun critre donn.
Dune manire gnrale, lidentification dun systme signifie la dtermination dun modle
de simulation, c'est--dire le choix d'une fonction mathmatique et lextraction de ses
paramtres partir de donnes exprimentales Fig.I-10.
Chapitre I- Mthodes de surveillance et de diagnostic

30
Lestimation des paramtres concerne la dtermination des coefficients du modle partir
des donnes exprimentales pour un modle donn. La terminologie estimation fait
allusion ce que les valeurs variables extraites soient entaches derreurs.


Fig I-10. Relation entre simulation et identification

Modles paramtriques et non paramtriques

Dune manire gnrale, les paramtres d'un modle peuvent tre soit constants (n'voluent
pas dans le temps), variables lentement (vieillissement), variables de manire frquente et/ou
brutale et variables lorsque une perturbation ou une panne surgit. On distingue alors le
comportement normal du systme, des comportements (anormaux) rsultant de ces pannes.
Au moment de la modlisation, il convient de bien prendre garde la rpartition des variables
entre celles qui doivent tre considres comme variables d'tat et celles qui doivent tre
prises comme paramtres caractristiques. En effet, on peut augmenter la difficult d'un
problme de surveillance par une mauvaise paramtrisation. Les modles se classent en deux
catgories : modles paramtriques et non paramtriques.
Reponse
Fonction Math
Coefficients
Modle Connu
Calcule
Fonction Math
Coefficients
Modle dterminer
Rponse
Mesure
Identification
Simulation
Chapitre I- Mthodes de surveillance et de diagnostic

31
Modles paramtriques
Les modles paramtriques sont des outils permettant de prdire les rponses dynamiques et
statiques du processus indpendamment des signaux de commande. Ils utilisent un nombre
fini de paramtres dans la structure mathmatique retenue comme modle du systme.
Les modles paramtriques se classent en diffrentes catgories dduites soit dune
comprhension physique des phnomnes lintrieur du processus soit, sur des fondements
empiriques ou une approche mixte.
- Modle physique ou de connaissance
Le modle paramtrique physique repose sur des lois qui rgissent le systme. Ces modles
permettent de simuler le comportement rel du processus dans les limites de validit du
modle et les hypothses de prise en compte et, par consquent, toute modification de relation
de causalit (cause effet) sont immdiatement interprtables pour des fins de diagnostic. Par
exemple, la surveillance du gradient de temprature dans les composants de puissance
conduira diagnostiquer un dfaut de court-circuit (fracture dans la structure). Nanmoins,
ces modles ncessitent une bonne comprhension de la physique des phnomnes. De plus,
ils font appel plusieurs paramtres quil faut identifier. Lintgration de lensemble des
paramtres dans le modle pose le problme des temps de calcul, trs importants en
simulations ce qui entrane des problmes pour raliser le diagnostic en temps rel. Si le
modle est trop simplifi, alors sa prcision dcrot. Les rsultats risquent dtre dcevants, ce
qui remet en question linterprtation physique.
- Modle empirique ou de reprsentation
Les modles paramtriques empiriques ou modles de boite noire ou de reprsentation
sont construits partir de donnes exprimentales en faisant abstraction des lois physiques.
Cette approche apporte une solution pour une modlisation complexe et coteuse du
fonctionnement par sa simplicit de mise en uvre et le nombre de paramtres rduits.
Linconvnient de ce type de modle est davoir des paramtres qui nont pas de sens
physique, par exemple lanalogie lectrique thermique ou bien les constantes de temps dans
les modles des systmes linaires en automatique. Une autre difficult dans la modlisation
rside dans le choix de la structure du modle. La validation des modles issus de cette
approche dpend des conditions exprimentales et du domaine de fonctionnement avec lequel
il a t identifi. Lextrapolation du modle dans le domaine non explor doit tre utilise
avec une certaine circonspection.
Chapitre I- Mthodes de surveillance et de diagnostic

32

- Modle mixte
Les modles paramtriques mixtes constituent un compromis entre le modle physique simple
et le modle physique trop complexe en utilisant des paramtres physiques globaux ou en
approximant par une fonction. Par exemple, dans le composant de puissance, le coefficient de
transfert thermique de trois couches de matriaux diffrents peut tre remplac par un
coefficient global de transfert thermique.
Ainsi, on peut appliquer cette technique de modlisation aux systmes structure hirarchise
dans leur ensemble ou une de leur partie seulement. Cependant, lintgration de tous les
phnomnes ainsi que linteraction entre les diffrents modles de sous-systmes peut
conduire des difficults de simulation et une validit rduite du modle.
Modles non paramtriques
Ils constituent une deuxime catgorie ddie la modlisation des caractristiques
dynamiques des systmes. Ces modles correspondent aux rponses frquentielles et
temporelles des processus. Le choix entre un modle paramtrique ou non paramtrique
dpend principalement de la connaissance priori sur la physique des phnomnes et de la
mthode de diagnostic. Le modle non paramtrique est retenu dans le sens de diagnostic
externe.
Reprsentation dun modle
Une premire tape dans la modlisation des processus est le choix de la reprsentation fond
sur lanalyse de la nature (continu, discontinu, discret) du processus dont on se propose
dlaborer un modle. En gnral, les mthodes didentification sadressent des modles
numriques de simulation implants sur les calculateurs. Il est ncessaire dobtenir des
modles discrets des processus continus.
Une seconde tape consiste identifier le mode de fonctionnement (statique ou dynamique)
pour lequel on se propose dtablir le diagnostic.
Les modes statiques sont caractriss particulirement par labsence du facteur temps.
En ce qui concerne les modes dynamiques, le fonctionnement peut tre caractris par des
grandeurs temporelles et spatiales (le systme sera dcrit par un modle paramtres
rpartis), soit par des grandeurs temporelles uniquement alors on soriente vers des modles
paramtres localiss [FUSS 98].
Une troisime phase pour le choix du modle sera la classification du modle du systme
selon les critres continu/ discret, paramtres rpartis/ concentr, monovariable /
multivariable, linaire/ non linaire, dterministe/ stochastique.
Chapitre I- Mthodes de surveillance et de diagnostic

33
Ltape de validation de la qualit didentification se caractrise par une comparaison des
rponses mesures et calcules. Souvent un critre quadratique de type moindre carrs pour
calculer lcart entre les rponses mesures sur le processus rel et celle dduite du modle.
I.3.4 Diagnostic par modlisation fonctionnelle et matrielle

La pratique de diagnostic diffre par la nature des activits de conduite (comportement) et de
la maintenance. Dans le cadre de la maintenance corrective, le diagnostic est effectu grce
une connaissance parfaite des relations causales. Par contre en diagnostic prventif, lanalyse
des signatures est exploite par les experts.
I.3.4.1 Mthodes par modlisation fonctionnelle

Le mode de dfaillance fonctionnelle correspond une perte dune des fonctions dun lment
donn ou une altration des performances de la fonction hors des limites de spcification
fonctionnelle. Le principe des mthodes de modlisation des dfaillances fonctionnelles est
dtablir priori les liens entre les causes initiales des dfaillances et leurs effets par une
analyse fonctionnelle. Lanalyse fonctionnelle interne correspond lutilisation des
formalismes permettant de visualiser les diffrentes fonctions remplies par les systmes.
I.3.4.2 Arbre fonctionnel

A partir du cahier de charge de conception, la dcomposition fonctionnelle du systme est
effectue en appliquant la trilogie Entre/Traitement/Sortie ou encore
Capter/Transformer/Transmettre. La reprsentation par arbre fonctionnel correspond une
dcomposition fonctionnelle arborescente hirarchise de fonctions [LIMN 92]. Pour la
fonction principale, on associe le niveau 0, les fonctions de niveau infrieur correspondent au
niveau -1. On utilise des symboles logiques ET et OU pour prendre en compte les
redondances ventuelles Fig I-11.
Chapitre I- Mthodes de surveillance et de diagnostic

34

Fig I-11 Dcompositions dun systme sous forme darbre fonctionnel

Cette mthode est adapte au problme du diagnostic car elle permet les approches inductive
et dductive.
I.3.4.3 Analyse des Modes de Dfaillance et de leurs Effets : AMDE

Ces analyses sont trs utilises pour les tudes de sret de fonctionnement lors de la
conception des systmes. Elles sont indispensables pour sassurer que les paramtres de
sret, de fiabilit, de maintenabilit, de disponibilit et de scurit sont conformes aux
spcifications. Elles prennent en compte toutes les dfaillances possibles et analysent les
consquences sur les fonctions des systmes, ce qui permet lidentification des matriels
sensibles faisant lobjet dun changement de conception ou bien dune redondance matrielle.
La procdure AMDE a t normalise (AFNOR X60-510/CEI 812-1985). Elle constitue une
technique dductive [CHAT 93].
Les tapes de lAMDE peuvent tre rsumes dans les points suivants :
- Dcomposition du systme en sous-systmes avec identification des organes de
chacun.
- Etablissement des modes de dfaillances pour chaque organe de chaque sous-systme.
Chapitre I- Mthodes de surveillance et de diagnostic

35
- Etudes des effets et prsentation des rsultats dans un tableau.
I.3.4.4 Mthode de larbre de dfaillance

Cette mthode associe une dfaillance une combinaison dvnements de niveau infrieur
qui entrane sa ralisation. Larbre de dfaillance est constitu de niveaux successifs
dvnements tels que chaque vnement est le rsultat dune combinaison logique
dvnements de niveaux infrieurs. La construction dun arbre de dfaillance utilise un
symbolisme spcifique et des rgles prcises.
Malgr laspect duniversalit des mthodes danalyses fonctionnelles, celles-ci prsentent un
inconvnient. Leur mise en uvre exige une connaissance parfaite des dossiers de conception,
de ralisation et de fonctionnement. Elle ne peut tre conduite que par des experts du
domaine.
I.3.5 Diagnostic par rseaux de neurones

Les rseaux de neurones RN sont bien adapts pour le diagnostic. Grce au mcanisme
dapprentissage bas sur lutilisation dun ensemble de rgles, la classification des
dfaillances est rendue possible.
En effet, les oprateurs sont conduits reconnatre et classer les signatures, caractrisant les
diffrents tats de fonctionnement, de faon visuelle. Plusieurs fonctions sont ncessaires au
diagnostic savoir, la capacit de perception, la facult de mmorisation et lapprentissage,
ensuite le raisonnement logique et enfin les facults dadaptation. Les rseaux de neurones
artificiels sont particulirement adapts pour aider les spcialistes de maintenance dans ces
activits de reconnaissance et de classification [ZWIN 95]. Par analogie au modle
biologique, un modle non linaire de neurone artificiel (processeur lmentaire) est conu,
recevant les signaux de N processeurs P
j
, j=1.N et dlivrant un signal de sortie Xi Fig I-12.

Chapitre I- Mthodes de surveillance et de diagnostic

36


Fig. I-12 Modle dun neurone artificiel

Les N signaux dactivation sont pondrs par des poids de connexions synaptiques
ij
e qui
reprsentent le niveau de relation entre les neurones artificiels (la mmoire du neurone
artificiel) et font lobjet dun apprentissage lorsque les neurones sont en rseau. Le neurone
artificiel labore ainsi un signal interne total, partir des N signaux dactivation.

0
1
i j
N j
j
ij i
x S e e =

=
=
(Eq I.13)
Le signal de sortie Xi est obtenu en appliquant une fonction d'activation sur ce signal interne
et servira exciter les autres neurones qui lui sont connects. Les fonctions dactivation sont
de natures varies : continues, discontinues, alatoires. Exemple : la fonction linaire avec
seuil, ou seuils multiples.
Dans le cadre des applications de diagnostic, on considre linterconnexion des neurones en
rseaux. Ces rseaux peuvent tre classs en deux grandes familles selon le type
darchitecture. On distingue :
- les rseaux non boucls qui dans la majorit des cas sont mis en uvre pour les tches
de classification automatique et sont organiss en couches dont certaines sont caches
Fig I-13.
- les rseaux boucls qui loppos, sont le sige de contre ractions synchrones en
fonction du temps.

Chapitre I- Mthodes de surveillance et de diagnostic

37


Fig I-13 Rseau couche cache non boucle
Une deuxime caractristique du rseau de neurones concerne les mthodes dapprentissage.
Ces mcanismes dapprentissage sont une mulation du processus de mmorisation de
connaissance du cerveau humain. La mmorisation est assure par les poids synaptiques dont
la valeur varie et est rgie par des rgles dapprentissage.
Pour la classification, les fonctions d'activation sont gnralement des fonctions discontinues
de type fonction seuils. Par exemple, une fonction d'activation un seuil est utilise pour
sparer lensemble des vecteurs en deux classes
1
et
2
Fig I-14.
1
e e x si ( ) 0 > x g (Eq I.14)
2
e e x si ( ) 0 < x g (Eq I.15)



Fig.I-14 Sparation de deux classes avec adaptateur linaire


Chapitre I- Mthodes de surveillance et de diagnostic

38
Certes les rseaux de neurones prsentent des atouts majeurs pour la rsolution de problmes
complexes. Ils prsentent une capacit dapprendre des rgles d'apprentissage partir
dexemples, quils sont capables dtendre de nouveaux cas. Ils ont un caractre robuste.
En effet, la perte ventuelle de quelques neurones naffecte pas beaucoup les performances
du rseau lors de la reconnaissance. Enfin, ils offrent la possibilit des traitements parallles
des informations contenues dans des millions de neurones.
Toutefois, on peut remarquer que les tches de diagnostic reposent sur une quantit
dheuristiques difficile formaliser dans un modle mathmatique, une corrlation entre des
variables trs disparates, des observations qualitatives (bruitage)
Critiques sur lapplication des RN en diagnostic
Les rseaux de neurones couches sont aujourdhui trs utiliss en diagnostic industriel en
raison de leur capacit rsoudre les problmes de classification non linaire.
Cependant, le calcul neuromimtrique doit tre rserv aux traitements de donnes
complexes, bruites ou incompltes et pour lesquelles on dispose dun trs grand nombre
dchantillons. Il est vrai aussi que les RN multicouches constituent un outil trs performant
pour le diagnostic industriel par leurs capacits dapprentissage et la reconnaissance des
formes. Lhandicap cest quil nexiste pas de mthodologie formelle pour la conception et
la ralisation de ces rseaux en ce qui concerne le choix du nombre de couches, le nombre
total de cellules, le choix des rgles. Il existe cependant des pistes dans le cadre de
l'approximation de fonctions continues [FRA 96].
I.3.6 Diagnostic par les systmes experts

Les systmes experts sont bass sur la reproduction du raisonnement dun expert humain dans
un domaine donn. La mise en uvre dun systme expert est une tache pluridisciplinaire.
Elle fait appel diffrentes comptences. On distingue :
- lexpert qui dispose des connaissances et des solutions aux problmes.
- le cogniticien qui, partir des connaissances de lexpert, va concevoir une mise en
forme du problme.
- linformaticien pour crire lalgorithme ralisant le systme informatique.
- lutilisateur : pour exploiter le systme expert.
Les systmes experts permettent de rsoudre les problmes de diagnostic, mais ils trouvent
leurs applications dans bien dautres domaines notamment la planification, la conception , le
Chapitre I- Mthodes de surveillance et de diagnostic

39
contrle de processus, la formation, la gestion de configuration et plus gnralement diverses
formes daide la dcision.
La ralisation du systme expert doit tre envisage avec la sparation claire entre le modle
de connaissance de lexpert et le formalisme adapt la mise en uvre de ce modle. Le
modle constitue une expression modulaire dcrivant le domaine concern (structure, relation,
proprits) [BENC 86].
A partir de ce modle, le cogniticien traduit les connaissances en langage formel, en vue
dimplanter le systme informatique.
Une description structure du domaine par proprits doit tre ralise en indiquant comment
ces proprits peuvent tre values (par application de fonction, dduction dautres
proprits, utilisation de ressources externes.)
Lobjectif du systme expert est dexploiter cet ensemble de connaissances sous forme
informatise. La mthode utilise se base sur le raisonnement qui par sa complexit ncessite
un certain nombre dtapes et dventuelles imbrications. La modlisation du raisonnement
constitue une phase dlicate dans lanalyse des connaissances.
Le formalisme de gnration de connaissances est constitu de rgles de production de la
forme SI condition, alors conclusions (conditions de vracit des expressions). Un
moteur dinfrence exploite les rgles et les faits et dduit une connaissance formule
gnralement sous la forme d'une rgle.

Base de faits +Base de rgles =Base de connaissance

Limitation des systmes experts
Comme tout logiciel au champ dapplication bien limit, les systmes experts sont incapables
davoir un comportement cohrent ds quon quitte ce domaine. De plus, il est ncessaire de
former des utilisateurs pour une bonne exploitation de cet outil. Toutes ces considrations font
du systme expert un outil non commode.
Une difficult spcifique dans la conception de ces outils rside dans la formalisation de la
dmarche cognitive Fig I-15 [CHAT 93].
Chapitre I- Mthodes de surveillance et de diagnostic

40

Fig I-15 Architecture dun systme expert

Une autre limitation du systme expert concerne la prise en compte du temps, notamment
pour la description des vnements lis au temps qui ont une certaine dure, et du
cadencement des vnements. Une autre difficult se prsente pour grer la non monotonie
provenant dun changement de vracit dun vnement.
Evolutivit des systmes experts
Dans la plupart des cas, lvolutivit des systmes experts est troitement lie lvolution
mme des systmes (matriel) au cours du temps. Ainsi la modification de ces systmes
ncessite dapporter des corrections et adaptations qui peuvent remettre en cause parfois la
base du raisonnement dune partie des connaissances contenues dans le systme. Pour la
ralisation dune application de type systme expert, on peut utiliser des langages
informatiques gnraux tel que fortran, C, pascal etc. Ces solutions sont peu retenues car elles
ncessitent programmer de faon dtaille des fonctions lmentaires disponibles dans
dautres environnements plus puissants.
Quelquefois on a recours des langages dintelligence artificielle classs en trois catgories :
langages fonctionnels, langages logiques, langages objet. Lisp (liste processing langage)
tant le prototype le plus utilis est conu partir de langages fonctionnels et constitue un
langage interactif de traitement de listes. Malgr les ralisations en Lisp notamment
lintgration de fonction de plus en complexe, celui-ci prsente des limitations lies
Base
de
faits
Module
de
consultation
Base
de rgles
Moteur
dinfrence
Module
de
dveloppement
Chapitre I- Mthodes de surveillance et de diagnostic

41
limportance des ressources informatiques ncessaires, aux restrictions de la portabilit des
ralisations vers les langages classiques [CHAT 93].
Toutefois, le prototype prolog utilise des langages logiques. Il est bas sur la manipulation de
sous ensemble de la logique. Plusieurs travaux sur prolog ont conduit des versions volues.
Mais son utilisation impose de sen servir pour dfinir les mcanismes de manipulation de
connaissances utiles pour le modle. De plus, il faut une formation pour transposer le
problme en terme logique.
Enfin, les langages orient objet constituent des environnements complets de programmation
qui permettent de btir une application autour dentits correspondant des concepts bien
dfinis et organiss de manire structure. Lapproche par objets confre une convivialit
lapplication notamment pour la visualisation, modification des classes, des relations.
Certes, la notion objet prsente un grand intrt pour la reprsentation des lments de
connaissances descriptifs. Cependant, la transposition directe dun lment de connaissance
sous forme dun objet du langage nest pas forcment la meilleure solution. Souvent, il est
prfrable dadopter la structure recevant la description des lments de connaissance et
ensuite la manipuler effectivement comme objet.
I.4 Concepts de surveillance

Dans ce travail, un intrt particulier est port la surveillance du systme de puissance dans
le V.E. (Vhicule Electrique) qui est essentiellement un systme dynamique. Son modle
dynamique, rsultant de l'application des lois fondamentales de la physique, se prsente sous
forme dune reprsentation d'tat, dans laquelle on crit les relations dynamiques entre les
entres et les sorties en explicitant un tat (multidimensionnel) voluant lui- mme de manire
dynamique [BASS 96].
Loccurrence dune panne est vue comme une variation d'un ou de plusieurs paramtres par
rapport une valeur de rfrence u
0
, c'est--dire d'une ou plusieurs composantes du vecteuru.
La surveillance consiste dtecter ces variations de paramtres, distinguer celles qui
rsultent de pannes de celles qui rsultent de comportements normaux, dcider si ces
variations sont effectivement significatives par rapport aux incertitudes sur le modle et sur la
rfrence u
0
et aux bruits sur les donnes mesures. Le problme du diagnostic est en outre de
dterminer les composantes du vecteur paramtre qui sont effectivement modifies et de
trouver les causes, en termes des composants atteints, de ces variations.
Chapitre I- Mthodes de surveillance et de diagnostic

42
I.4.1 Pourquoi surveiller un systme ?

Les principales raisons qui conduisent surveiller un systme dynamique sont :
- La conduite ou comportement, qu'il s'agit d'optimiser et qui est une tche en ligne; par
exemple, un tableau de bord dans lautomobile doit fournir l'oprateur les outils ncessaires
la prise de dcision d'actions visant faire fonctionner le systme au mieux en terme de
scurit et de non dgradation des quipements. Ceci passe par la surveillance du systme afin
de dtecter toutes anomalies de fonctionnement et l'identifier aussi bien que possible.
- Un service, qu'il faut assurer. La capacit dtecter, localiser et diagnostiquer les
vnements indsirables, et y ragir, est un enjeu crucial.
- La maintenance, qui a pour objet le remplacement ou la rparation d'quipements uss ou
dfectueux, et que l'on souhaite optimiser. Elle s'effectue le plus souvent hors-ligne : La
maintenance corrective intervient aprs la dtection et la localisation d'un dfaut; la
maintenance prventive est elle le plus souvent systmatique. Cependant, la maintenance
conditionnelle, qui est une alternative la maintenance systmatique, fait l'objet d'une
demande croissante dans un grand nombre d'applications. Cette maintenance est base sur la
surveillance en continu de l'volution du systme, afin de prvenir un dysfonctionnement
avant qu'il n'arrive; elle impose donc des traitements en ligne, au moins en partie.
Les enjeux conomiques, technologiques et environnementaux de la surveillance sont
troitement lis des impratifs de scurit (des hommes et des matriels) et de protection de
l'environnement.
Le choix d'une approche en ligne ou hors-ligne pour la surveillance dpend non seulement de
l'objectif conduite ou maintenance, mais galement des ordres de grandeur relatifs des
constantes de temps du systme, des diffrents types d'vnements surveiller, et aussi de
l'chantillonnage des mesures disponibles.
I.4.2 Architecture gnrale

La figure I-16 prsente l'architecture gnrale d'un systme de surveillance en ligne. On y
retrouve les trois grandes fonctions " Voir ", " Comprendre", " Agir " ncessaires une bonne
surveillance. La fonction de perception s'appuie sur les donnes acquises par les capteurs du
systme surveiller et transmet l'oprateur des informations plus ou moins labores.
L'oprateur analyse les informations reues et dcide des actions entreprendre au travers
d'actionneurs qui permettent d'agir sur le systme. L'architecture d'un systme de surveillance
hors-ligne est proche de celle-ci sauf que le flux d'observations ne provient pas directement
Chapitre I- Mthodes de surveillance et de diagnostic

43
des capteurs mais d'une base de donnes o elles ont t archives. En outre, les dcisions
prises sont des actions de remplacement ou de rparation des composants du systme.

Fig.I-16 Architecture gnrale dun systme de surveillance

I.4.3 Les diffrents niveaux de traitement : du signal la dcision

On peut reprsenter l'organisation gnrale des traitements en ligne utiles pour l'aide la
conduite comme indiqu la figure I-17.
On distingue plusieurs niveaux de traitement possibles :
Le premier niveau concerne le traitement des donnes capteurs : il a pour premire fonction la
dtection et l'limination des valeurs aberrantes. Il prend aussi souvent en charge la validation
des capteurs eux-mmes en tudiant, l'aide de mthodes numriques, la redondance inter-
capteurs.
Voir Comprendre Agir
Alarmes Panne Proposition
daction
Systme
A
c
t
i
o
n
n
e
u
r

C
a
p
t
e
u
r

Gnration
dalarme
Aide la
dcision
Interprtation
F
i
l
t
r
a
g
e

L
o
c
a
l
i
s
a
t
i
o
n

D
i
a
g
n
o
s
t
i
c

Dcision Signaux

Oprateur
Chapitre I- Mthodes de surveillance et de diagnostic

44
Un second niveau, qui travaille toujours partir des donnes capteurs, a pour fonction la
gnration des alarmes. Les alarmes indiquent l'occurrence d'vnements survenus dans le
systme.
La gnration des alarmes se fait, notamment, par un traitement conjoint de plusieurs signaux
et utilisant un modle du systme surveill [WENG 88].

Fig.I-17 Organisation des traitements en ligne
Il est important de souligner que ces deux premiers niveaux Fig.I-17, redondance inter-
capteurs et gnration d'alarmes, constituent un codage numrique/symbolique " intelligent "
(symbolique tant loppos de numrique) des donnes capteurs, point crucial pour la
Actions
Dcision
Diagnostic
Interprtation
des alarmes
Alarmes
Gnration des
alarmes
Donnes
capteurs
Traitement
Valeurs
aberrantes
2
1
3
4
Base de
donnes
M
a
i
n
t
e
n
a
n
c
e

S
u
r
v
e
i
l
l
a
n
c
e

Signal capt
Chapitre I- Mthodes de surveillance et de diagnostic

45
surveillance. En particulier, il est possible de produire directement ce niveau des alarmes "
intelligentes " portant des informations relatives au diagnostic.
Un troisime niveau a pour charge l'interprtation des alarmes. Il doit transformer les alarmes
(qui signalent des vnements survenus sur le systme) en une information utile l'oprateur
dans sa prise de dcision. On utilise le filtrage (limination d'alarmes redondantes); la
localisation d'un dysfonctionnement (en faisant par exemple le lien entre signal ou paramtre
perturb et composant en dysfonctionnement); et le diagnostic (recherche de la cause
profonde expliquant le phnomne observ). Les vnements l'origine de l'mission des
alarmes ne sont pas forcment indsirables. Un des buts de l'interprtation est prcisment de
le dterminer.
Il convient de souligner que la phase d'interprtation des alarmes dpend directement de
l'objectif du systme de surveillance. La profondeur dans la recherche de causes est par
exemple diffrente selon que la surveillance est effectue dans un but d'aide la conduite ou
dans un but de maintenance conditionnelle.
En gnral la surveillance d'un systme comprend ces trois niveaux et suppose donc une
intgration de traitements de type purement numrique au niveau 1, de type mixte
numrique/symbolique au niveau 2 et plutt symbolique au niveau 3.
I.4.4 Objectifs d'une " bonne " surveillance

Pour surveiller en continu l'volution du systme et trouver les causes des vnements
indsirables, il convient d'utiliser au mieux les informations disponibles, qu'elles soient
numriques (les donnes des divers capteurs et actionneurs), ou symboliques (historiques,
environnement, vnements indsirables survenus antrieurement et rparations
effectues,...). Dans l'optique d'une utilisation optimale des informations disponibles pour la
surveillance, deux points mritent d'tre souligns :
Un premier point crucial rside dans le traitement des signaux (donnes des capteurs et
actionneurs) mesurs sur le systme, qui doivent tre analyss aussi finement que possible
afin de limiter au maximum les pertes d'informations et la gnration d'alarmes non
pertinentes et redondantes. Il s'agit alors :
- partir des signaux d'extraire des caractristiques des objets tudis qui soient
pertinentes pour la surveillance;
- d'tre capable d'analyser ces lments afin de dtecter perturbations et anomalies; ceci
en prservant une robustesse suffisante par rapport aux bruits sur le systme, aux
Chapitre I- Mthodes de surveillance et de diagnostic

46
erreurs sur son modle, et aux incertitudes sur la rfrence, mais aussi par rapport aux
changements de modes de fonctionnement du systme;
- de combiner les informations obtenues avec d'autres informations symboliques qui
sont disponibles sur le systme et indispensables sa surveillance. En particulier, que
ce soit pour la conduite ou la maintenance conditionnelle, la dtection prcoce de
dviations faibles par rapport une caractrisation du systme en mode de
fonctionnement normal (sans excitation artificielle, ni ralentissement, ni arrt) s'avre
d'un grand intrt.
Le second point crucial est l'adquation des rponses fournies par le systme de surveillance
aux besoins des oprateurs chargs de les utiliser. En effet, la prise en compte du contexte
gnral de la surveillance et du niveau des rponses attendues est ncessaire. Ceci explique
l'intrt d'une interprtation des alarmes s'appuyant sur des connaissances symboliques. On
est alors en mesure d'expliquer et/ou de justifier les jugements ports sur le systme ou les
dcisions proposes. Ceci passe par la dfinition dune interface approprie et ergonomique,
trs importante pour la surveillance mais reste en dehors du champ de notre travail.
Ces deux points mettent bien en relief une caractristique de la surveillance, qui requiert le
passage d'une information numrique une information symbolique et la ncessit daller-
retour entre ces deux types d'informations. Le cahier des charges est trs important pour
concevoir un systme de surveillance. Il prcise les pannes qui doivent tre dtectes,
localises, sont-elles brutales ou graduelles, de quelles informations l'oprateur a besoin pour
ragir . . .
Un autre point en aval de la surveillance proprement dite est celui du choix du type des
capteurs, de leur nombre et de leur positionnement les plus adquats pour la surveillance. Des
critres permettant de quantifier la qualit d'un jeu de capteurs pour la surveillance peuvent
naturellement tre associs des algorithmes de dtection. Ces critres quantitatifs permettent
de qualifier un positionnement de capteurs pour la surveillance et le diagnostic. Il peut arriver
qu'un dfaut donn soit plus facilement diagnosticable avec un sous-ensemble des mesures
qu'avec toutes les mesures traites de manire conjointe. En effet, rajouter des capteurs
permet d'accrotre l'information mais aussi le bruit, ceci est particulirement crucial pour la
surveillance des vibrations [THOM 02].
I.4.5 Gnration des alarmes

Le niveau 2 de la figure I-17 concerne ltape de gnration d'alarmes (dtection). Diffrentes
mthodes existent, bases sur des concepts et techniques varies et s'appuyant sur diffrents
Chapitre I- Mthodes de surveillance et de diagnostic

47
modles du systme surveill notamment des mthodes statistiques du traitement du signal et
des mthodes de l'intelligence artificielle permettant la gnration des alarmes et leur
interprtation.
I.4.5.1 diffrents niveau de gnration dalarmes

La gnration d'alarmes peut intervenir deux niveaux.
Au niveau d'un capteur, sur lequel on procde une segmentation automatique en zones
homognes et la dtection d'vnements indsirables, par exemple de type transitoire. Ce
traitement ignore le plus souvent le modle du systme surveill dans son ensemble. Il peut
tre ralis l'aide d'algorithmes bass sur des modles boite-noires.
Au niveau de plusieurs capteurs que l'on analyse l'aide d'algorithmes bass sur tout ou partie
du modle physique du systme surveill. A ce niveau purement numrique de traitement des
signaux, on peut gnrer des alarmes intelligentes, contenant des informations de diagnostic
de haut niveau, sous la forme des composants responsables de l'alarme dclenche.
I.4.5.2 types de modles utiliss

La gnration d'alarmes repose le plus souvent sur l'utilisation de modles numriques. En
gnral, deux types de modlisation sont utiliss : la modlisation physique et la modlisation
bote-noire. La surveillance peut tre effectue mme en l'absence d'un modle de
connaissance (physique) du systme. On peut alors lui substituer un modle bote-noire, de
type rseau de neurones ou rseau d'Ondelettes, ce qui revient comprimer l'information
disponible dans les mesures en un vecteur de paramtres de dimension finie (les poids du
rseau, les coefficients caractristiques de la fonction de base, translation et dilatation par
exemple).
I.4.5.3 Les tapes de la gnration d'alarmes

La gnration d'alarmes la plus lmentaire consiste confronter directement les donnes
mesures des seuils prtablis. Ce traitement direct sur le signal contribue le plus souvent
une perte d'informations importante, et une gnration d'alarmes non pertinentes et
nombreuses. Elles sont, en outre d'autre part trs sensibles aux seuils qui sont difficiles
rgler.
Chapitre I- Mthodes de surveillance et de diagnostic

48
Il existe des mthodes plus labores, et pas ncessairement trs coteuses en calculs, qui
permettent une gnration d'alarmes plus pertinente. On peut alors distinguer deux
composants principaux :
- Une transformation des donnes Z =f(Y) en un vecteur de rsidus Z (Y tant les donnes).
Celui-ci se doit d'tre idalement nul en l'absence de dviations et significativement non nul
en leur prsence.
- Une stratgie permettant d'valuer les rsidus et donc de dcider du caractre significatif des
dviations qui se produisent, vis--vis des bruits, des erreurs de modlisation, des
changements de modes de fonctionnement ... Cette dcision peut consister en une simple
dtection d'anomalies, comporter un aspect de diagnostic quant la localisation et
l'interprtation physique de cette anomalie. L'alarme est alors qualifie d'alarme intelligente
qui est la fois significative et porteuse d'une information reliant l'anomalie au composant
physique responsable de celle-ci [GENT 97].
I.4.5.4 Les mthodes de gnration d'alarmes

Plusieurs mthodes permettent d'aborder les deux principales tapes de transformation et de
dcision (dtection et diagnostic).

1.4.5.4.1 Transformation des donnes ou gnration de rsidus

Il s'agit de dfinir une transformation des donnes Z =f(Y) en vecteur de rsidus qui reflte
au mieux la prsence d'une dviation par rapport une rfrence, tout en tant le moins
possible sensible aux diverses perturbations et erreurs sur le systme et sur la rfrence. Ce
vecteur sera ensuite valu pour dcider de l'occurrence ou non d'vnements significatifs.
On distingue deux types de mthodes :
1. les mthodes gomtriques, qui exploitent les proprits (algbriques ou gomtriques) du
modle dynamique, le plus souvent linaire, du systme considr. Il s'agit essentiellement de
transformes des donnes initiales, linaires elles-aussi, de type observateur ou relations de
redondance analytique ;
2. les mthodes statistiques, concernant essentiellement ce que l'on appelle les statistiques
exhaustives, autrement dit les transformes des donnes initiales qui ne perdent pas
d'informations quant aux caractristiques propres de ces donnes.
Chapitre I- Mthodes de surveillance et de diagnostic

49
En fait, les mthodes statistiques rsolvent le plus souvent de manire simultane les deux
problmes de transformation des donnes (ou gnration de rsidus) et de dcision (valuation
des rsidus et gnration d'alarmes).
Le principal avantage des mthodes gomtriques est qu'elles exploitent les proprits de
structure du modle dynamique, en particulier d'observabilit de l'tat du systme considr,
s'il s'agit d'un systme reprsentation d'tat. L'inconvnient est qu'elles ne donnent pas de
mesure du caractre significatif des dviations observes.

1.4.5.4.2 Dcision pour la gnration d'alarme

Il s'agit de dtecter, de manire prcoce, pour un traitement en-ligne des dviations par
rapport une caractrisation du systme en mode normal, c'est--dire sans perturbation
externe, ni ralentissement, ni arrt.
Une rfrence (ou signature) est une caractrisation effectue au moyen d'une modlisation
paramtrique, de vecteur de paramtre u dont la valeur de rfrence est u
0
. Disposant donc
d'une rfrence et de nouvelles mesures, il s'agit de dcider si ces mesures sont toujours
dcrites convenablement par cette rfrence ou s'il s'est produit un changement significatif de
comportement [CORD 96]. Deux solutions, schmatises par la figure I-18, sont
envisageables :
- Acquisition d'une nouvelle rfrence u
1
, et comparaison des deux rfrences u
0
et u
1
l'aide
d'une distance convenable.
Cette mthode de surveillance prsente certains inconvnients. Elle ncessite l'intervention
d'un oprateur humain. Son succs dpend du bon choix de la mesure de distance entre deux
rfrences.
- comparaison directe des nouvelles mesures la rfrence disponible. Il s'agit alors de
calculer une transformation convenable des donnes, en utilisant la rfrence. C'est d'une
certaine faon ce que font les mthodes gomtriques de gnration de rsidus. C'est aussi ce
que font les mthodes statistiques.
Chapitre I- Mthodes de surveillance et de diagnostic

50

Fig.I-18 Comparaison de nouvelles mesures une rfrence u
0

I.4.5.5 Les critres de la gnration d'alarmes

La conception des algorithmes de gnration d'alarmes est lie la nature hors-ligne ou en
ligne des traitements effectus en vue de la dtection.
Nous distinguons trois problmes de dtection qui dcoulent de trois faons de gnrer des
alarmes.
- Validation de modle : On dispose d'une part d'une rfrence u
0
et d'autre part d'un
enregistrement de N nouvelles mesures. On cherche dcider si les nouvelles mesures sont
bien dcrites par la rfrence. Ce problme peut tre pos hors-ligne (N fix) ou bien en ligne
(chantillon de taille N variable).
- Dtection de changement hors-ligne : On dispose d'un enregistrement de N nouvelles
mesures. On cherche dcider si, l'intrieur de cet enregistrement, il y a un changement de
paramtre, de la valeur u
0
la valeur u
1
, se produisant l'instant inconnu t.
- Dtection de changement en ligne : A chaque instant t, on cherche dcider si, l'intrieur
de l'enregistrement, il y a un changement de paramtre, de la valeur u
0
la valeur u
1
, se
produisant l'instant inconnu x.
La dtection de changement (en ligne) constitue le problme le plus difficile puisque nous
utilisons moins de donnes, et donc moins d'informations.
I.5 Conclusion

En guise de conclusion cette prsentation gnrale des mthodes statistiques de traitement
du signal et des mthodes de l'intelligence artificielle pour la surveillance et le diagnostic de
Rfrence u
0
Nouvelles mesures
Nouvelle rfrence
u
Alarme globale
Diagnostic en
termes de la
rfrence
Chapitre I- Mthodes de surveillance et de diagnostic

51
systmes dynamiques, nous avons choisi dattirer lattention sur quatre points qui nous
semblent importants et qui nous permettront d'valuer et de comparer les diffrentes solutions
proposes ce problme de surveillance. Ce sont :
- Les difficults lies l'acquisition des informations ncessaires, en particulier pour
llaboration des modles,
- La capacit prendre en compte l'incertain et l'imprcision, problme incontournable
lorsque l'on veut traiter des systmes rels,
- La multiplicit des outils proposs et leur capacit voluer selon l'volution du systme
surveill,
- La validation (ou l'valuation) des rsultats obtenus.























Chapitre I- Mthodes de surveillance et de diagnostic

52












Autres
composants
Chapitre II- Modlisation et simulation
53


















Chapitre II
MODELISATION ET SIMULATION


























Chapitre II- Modlisation et simulation
54


















































Chapitre II- Modlisation et simulation
55






Sommaire II

Chapitre II- MODELISATION ET SIMULATION ....................................................... 53
II.1 Introduction ................................................................................................................... 57
II.2 Le systme de puissance embarqu dans le V.E ........................................................... 58
II.2.1 Les nouveaux challenges ........................................................................................ 59
II.2.2 Les mcanismes de dfaillances dans les systmes de puissance .......................... 60
II.3 Les mthodes de modlisation ....................................................................................... 62
II.3.1 Insulated Gate Bipolar Transistor (IGBT) fonctionnement ................................. 64
II.3.2 Modlisation en lectronique de puissance : tat de lart ....................................... 72
II.3.3 Modle labor de l IGBT ..................................................................................... 77
II.3.4 Diode de puissance ................................................................................................. 98
II.3.5 Modlisation comportementale de la diode .......................................................... 106
II.3.6 Modlisation thermique ........................................................................................ 112
II.4 Simulation .................................................................................................................... 136
II.4.1 Modlisation du convertisseur statique de puissance ........................................... 137
II.4.2 Rsultats de simulation ......................................................................................... 138
II.5 Conclusion ................................................................................................................... 140













Chapitre II- Modlisation et simulation
56
























Chapitre II- Modlisation et simulation
57
II.1 Introduction

Le vhicule lectrique est conu pour la motorisation lectrique. Cette dernire propose des
performances exceptionnelles compares celles d'un moteur thermique, en particulier un
couple lev et constant ds les bas rgimes.
Le vhicule "tout lectrique" peut tre motoris de diffrentes faons selon le degr de
simplification mcanique de la chane de traction. Cette dernire peut tre constitue, dans la
version la plus archaque, d'un moteur unique associ un embrayage et une boite de
vitesses et, dans la version la plus avance, de deux ou quatre moteurs intgrs dans les roues
pour leur entranement direct. Nous considrons ici une solution intermdiaire justifie par
des considrations conomiques, et qui comprend un moteur unique de traction associ un
rducteur de rapport fixe et un diffrentiel pour l'entranement des roues avant. Dans ces
conditions, pour satisfaire les besoins du vhicule (dmarrage en cte, possibilits
d'acclration et vitesse maximale), le moteur doit tre capable de dlivrer son couple
maximal de l'arrt une vitesse dite de base, o il atteint sa puissance maximale, puis de
maintenir cette puissance jusqu' une vitesse maximale gale 3 5 fois la vitesse de base.
Pour remplir cette fonction, le moteur lectromagntique doit tre contrlable en couple et
pouvoir tre "dflux" dans la plage dite " puissance maximale constante" Fig. II-1.


Fig.II-1 Chane classique de traction lectrique
Toutefois, la bote de vitesse qui quipe encore actuellement plusieurs types de vhicules
lectriques est appele disparatre grce la trs grande souplesse du moteur lectrique.
Control
Machine
Electrique
Boite
vitesse
Roues
Onduleur
Conver-
tisseur
DC/DC

Batterie

Bus DC
Chapitre II- Modlisation et simulation
58
II.2 Le systme de puissance embarqu dans le V.E

Les travaux mens sur la conception des chanes de traction consistent rechercher des
mthodes permettant de dterminer les architectures, les composants et les stratgies de
gestion de l'nergie rpondant au mieux l'ensemble des missions assignes au vhicule.
Cette recherche passe par le dveloppement et l'exploitation de modles dcrivant la chane de
traction dans son environnement complet, en prtant une attention particulire la description
des flux dnergie et des couplages.
Schmatiquement, la chane de traction d'un vhicule lectrique peut se rsumer trois
organes : le variateur lectronique comprenant un convertisseur de puissance et sa commande,
le moteur lectrique de traction et la batterie Fig. II-2.










Fig.II-2 Chane de puissance dans le vhicule lectrique

Dans ce travail nous considrons le systme de puissance embarqu dans le V.E compos
dune partie commande (lectronique de puissance) et la partie moteur lectrique.
Le variateur lectronique

Depuis le dbut du sicle, le principe mme des moteurs lectriques n'a gure volu. En
revanche, les progrs de l'lectronique de puissance ont permis de faciliter la commande de
ces moteurs et ont rendu possible l'apparition de nouveaux types rendement plus lev.
Ainsi, les hacheurs de courant sont devenus indispensables pour la commande des moteurs
courant continu. Quant aux moteurs courants alternatifs, synchrones ou asynchrones, ce sont
les convertisseurs de courant continu/alternatif, appels onduleurs, qui ont permis d'apporter
les plus grandes amliorations. En effet, cette alimentation confre une grande robustesse au
Batterie
Protections
Onduleurs Machine lectrique
Chargeur
Convertisseur
DC/DC

E

Vce
Vers batterie 12V ou 36V
Secteur
Chapitre II- Modlisation et simulation
59
dispositif d'entranement complet. Lemploi des modules IGBT dans les chanes de traction
asynchrones des transports urbains a montr des gains apprciables en matire de cot des
onduleurs ( lacquisition et lexploitation), de masse et de fiabilit.
Les moteurs lectriques
Les diffrentes chanes de propulsion lectrique peuvent tre classes selon cinq catgories
partir de solutions comportant plus de mcanique vers des solutions plus labores sur le plan
lectrique et lectronique (voir annexe moteur).
- Les moteurs courant continu (M.C.C.) excitation spare, sont commands par un
hacheur de puissance agissant sur l'induit du moteur et un hacheur de plus faible puissance
commandant l'excitation ou inducteur. Dans la traction lectrique automobile, c'est le systme
le plus employ car il offre, actuellement, le meilleur compromis performances/prix grce
un convertisseur lectronique simple. Cependant, le M.C.C a quelques limites intrinsques
lies son collecteur et ses enroulements d'induit situs au rotor, principalement la
frquence de maintenance et la puissance massique.
- Les moteurs asynchrones : ce sont les moteurs les plus rpandus actuellement dans le
domaine industriel grce la faiblesse de leur cot de fabrication, et leur grande robustesse.
Ce sont des moteurs sans entretien : un simple refroidissement est ncessaire pour le stator.
Leur commande ncessite l'emploi d'un onduleur lectronique afin de convertir le courant
continu des batteries en courant alternatif triphas, et de contrler avec prcision le
fonctionnement du moteur en rgime permanent et pendant les phases d'acclration. De plus,
l'onduleur transforme le courant alternatif gnr par le moteur durant les dclrations et le
freinage en courant continu pour recharger la batterie.
- Les moteurs synchrones aimants permanents ont une puissance massique et un rendement
levs. Leurs cots levs restent le plus gros handicap.
D'autres technologies comme les moteurs rluctance variable ou les moteurs roues, encore
en phase de mise au point, pourraient prsenter des avantages spcifiques indniables qui
devraient jouer un rle dcisif dans le dveloppement du vhicule lectrique (voir annexe
moteur).
II.2.1 Les nouveaux challenges

Les avantages des chanes de traction en termes de cot et dencombrement ont permis den
faire une solution largement choisie par les oprateurs de transports en commun, de passagers
et de marchandises. Des efforts de standardisation des modules de puissance utiliss dans les
chanes de traction ont t accomplis en parallle de manire rduire lencombrement et le
Chapitre II- Modlisation et simulation
60
cot. Ceci na t possible qu travers une collaboration troite entre les diffrents acteurs
industriels lors des phases de spcification et de dveloppement de certains composants
notamment les IGBTs.
Toutefois, des nouveaux challenges sont relever. En ce qui concerne le pilotage du systme
de puissance, particulirement londuleur IGBT, des stratgies innovantes auront tre
dveloppes pour assurer la fiabilit ainsi que la sret de fonctionnement lensemble du
systme. Linfluence de lemploi des convertisseurs IGBT sur lenvironnement devra
galement tre tudie, il convient de garantir la compatibilit lectromagntique et les
niveaux des harmoniques hautes frquences. Cette problmatique nous amne crer et
valider des outils de modlisation et de simulation adapts afin de rpondre aux besoins et
danticiper les nouvelles exigences technico-commerciales.
II.2.2 Les mcanismes de dfaillances dans les systmes de puissance

La plupart des systmes de puissance oprent dans un environnement ncessitant une
variation rapide de vitesse, caractris par des arrts / dmarrage frquent et une surcharge
permanente. Les circuits, particulirement les dispositifs de commutation semi-conducteur
peuvent tre lobjet dun abus constant de sur intensit et un dpassement de tension. Bien
que les circuits de protection snubber sont souvent utiliss pour allger le stress,
loccurrence de dfaut tel que la dfaillance dun transistor de puissance, court circuit dune
diode en antiparallle peuvent ne pas engendrer des catastrophes instantanes mais ils
affectent les performances du circuit de commande [FILI 01] [ELAN 01].
Les dfauts souvent rencontrs dans le convertisseur de puissance de la Figure II-2 sont dus
[FUCH 03] :
- dfaut dans le bus continu dalimentation, dfaut de court circuit de la capacit de liaison,
- dfaut dans le semi-conducteur de puissance (transistor, diode) qui est soit en court circuit
ou en circuit ouvert,
- dfaut dans les capteurs de courant et de tension,
- dfaut dans les quipements de contrle.
On relve la littrature [THOR 95] que 50% des dfaillances des convertisseurs affectent le
circuit de contrle, 37.9% des dfaillances concernent la partie puissance et 7.7% sont au
niveau des systmes de refroidissement. Ces pourcentages dapparition de dfauts, nous
donnent une ide sur leurs distributions pour un fonctionnement en commutation des
convertisseurs. Outre ces rsultats montrent que loccurrence des dfauts dans le convertisseur
est au niveau des composants de puissance qui peuvent tre le rsultat dun dfaut dans le
Chapitre II- Modlisation et simulation
61
systme de refroidissement ou dun mauvais choix de composants de point de vue fiabilit
et/ou dimensionnement. Gnralement on distingue deux classes de mcanisme de dfaillance
pour les composants lectroniques.
La premire classe concerne les dfauts EOS (Electric Over Stress) qui engendre un
dpassement de laire de scurit [Table II-1]. LEOS provient, gnralement, dun
changement immdiat dans la structure du composant qui rsulte dun dfaut catastrophique.

Mcanisme de dfaillance Causes
Claquage en courant Densit de courant
Temprature environnementale
Fissure thermique Puissance dissipe
Temprature environnementale
Claquage en haute tension Champ lectrique
Temprature environnementale
Effet de fermeture (cas de la diode en
particulier)
Vitesse de re-polarisation (dv/dt)
Vitesse de la charge (di/dt)
Effet douverture (cas de la diode en
particulier)
Charge stocke
Vitesse de re-polarisation (dv/dt)
Courant/Tension inverse maximum

Table II-1 Les dfauts dus l'Electric Over Stress
La deuxime catgorie concerne laspect intrinsque du composant qui se traduit par un effet
de fatigue. Par exemple le passage dun fort courant dans la structure du composant de
puissance peut engendrer un changement dans les proprits physiques internes et une
apparition de dfauts.
Par consquent, la fiabilit des systmes et ltude des rgimes extrmes sont deux lments
indissociables. En effet, les rgimes extrmes (reprsentant la surcharge en tension et en
courant), le court-circuit, le fort dI/dt et dV/dt, constituent une preuve redoutable pour les
composants semi-conducteurs et entranent souvent leur destruction. Do la ncessit de la
modlisation des rgimes extrmes. Elle permet de mettre en vidence les phnomnes
internes du silicium, notamment la temprature, en relation avec les paramtres externes
mesurables (courant, tension et pertes) et didentifier finement les zones frontires
paramtriques partir desquelles les composants risquent dtre incapables dassumer
Chapitre II- Modlisation et simulation
62
correctement leur fonction ou dtre dtruits. En dautres termes, ces zones constituent le
passage entre les tats normaux (ou permis) et les tats anormaux (ou interdits).
Il existe dautres mcanismes de dfaillance de long terme tel que la corrosion,
llectromigration et la fatigue thermique qui sont dues respectivement lhumidit, la
densit de courant et au cyclage thermique.
Par ailleurs, les machines courant alternatif sont quelquefois le sige de dfauts quand elles
sont utilises dans les entranements vitesse variable car elle sont conues pour oprer sous
une alimentation sinusodale sans harmonique de hautes frquences. Les composantes de
dfaillance sont :
les enroulements statoriques,
les barres au rotor ou les enroulements,
l'arbre et couplage mcanique
Dautres dfauts externes sont dus au :
dfaut de phase,
dissymtrie dans lalimentation,
surcharge mcanique,
rotor bloqu,
Sous charge.
En conclusion, le composant de puissance IGBT, qui constitue llment de base
(dterminant) dans le convertisseur de puissance, joue un rle essentiel dans le systme de
puissance. En effet, le composant de puissance, qui est le sige de transferts nergtiques trs
importants, semble la source la plus vidente de dfaillance dans les circuits lectroniques de
puissance.
II.3 Les mthodes de modlisation

Lanalyse prvisionnelle de fiabilit savre ncessaire afin d'valuer la fiabilit globale du
systme partir de modle de composant. L'obtention de ces modles est particulirement
dlicate dans le cadre spcifique considr, du fait des grandes dispersions de contraintes
(formes et amplitudes des contraintes lectriques, ambiance, temprature et cycles
thermiques) qui est susceptible de subir un mme composant, selon le convertisseur et
l'application dans lequel il se trouve plong.
Dans cet objectif, les outils de simulation lectronique permettent doptimiser et de
rationaliser prcisment le schma lectronique, notamment en faisant appel de nouvelles
Chapitre II- Modlisation et simulation
63
technologies de composants. En effet, les outils de simulation ont pris toute leur importance et
permettent de mettre en uvre une architecture spcifique rduisant de manire significative
la temprature de fonctionnement. La corrlation entre les calculs / simulations et les essais de
validation permettent dvaluer avec une prcision suffisante le comportement du composant
et par la suite du systme.
Notre travail sinscrit dans le cadre dune action de fiabilit du systme de puissance. Elle
consiste concevoir et raliser des bancs de test permettant de simuler le systme de
puissance embarqu dans le vhicule lectrique. L'objectif est de disposer d'un outil
permettant d'alimenter notre rflexion avec des donnes de dfaillance parfaitement
matrises et une meilleure connaissance du systme de puissance grce aux simulations et
aux essais exprimentaux. Cette dmarche passe inluctablement par la mise en uvre dun
systme de surveillance.
Les rsultats restitus qui devront tre complts par des analyses physiques des composants
dfaillants, pour connatre et comprendre les principaux mcanismes de dfaillance et leur
dpendance par rapport aux contraintes environnementales, permettront de construire une
base de donnes [ZHAN 95]. Son exploitation devrait dboucher sur l'laboration de modles
paramtriques susceptibles d'tre utiliss dans des analyses de fiabilit prvisionnelle ou de
sret de fonctionnement.
Ltude de la sret de fonctionnement globale du systme dpend :
des diagnostics locaux effectus sur les divers composants de lensemble ;
des interactions entre les diffrents constituants du systme (par exemple linfluence
du vieillissement voire de la panne dun IGBT du convertisseur).
Notre approche se rsume en trois volets :
Un premier niveau de traitement consiste mener des analyses locales des lments du
systme (lments passifs et actifs du convertisseur et les dysfonctionnements pouvant
apparatre sur lensemble convertisseur/machine). Les mthodes de diagnostic ncessaires la
dtection dun dfaut ou dun vieillissement peuvent faire appel des modles
comportementaux des systmes perturbs partir desquels on en dduit des signatures
(indicateurs de dfauts).
A partir des connaissances acquises lors du diagnostic local, une approche plus globale doit
tre mene. Elle consiste tudier linteraction entres les diffrentes composantes dun
systme afin danalyser la rpercussion dune panne ou du vieillissement dun composant sur
lensemble du dispositif tudi. Nous laborerons une mthodologie gnrale pour prendre en
compte ces interactions, base sur une modlisation globale du systme et sur une analyse de
Chapitre II- Modlisation et simulation
64
la sensibilit dun sous-systme aux variations des paramtres des autres sous-systmes. A
titre dapplication, nous mnerons une tude sur un ensemble machine convertisseur pour
lequel sera analyse lincidence de dysfonctionnement du convertisseur ou de la machine
asynchrone sur le fonctionnement du systme.
Ce niveau de proccupation concerne la supervision et correspond structurellement au niveau
le plus lev du traitement de la sret de fonctionnement. Il sagit ici, en sappuyant sur les
diagnostics locaux faits au niveau des composants, de dfinir une approche sret
hirarchise prenant des dcisions chaque niveau de systme pour conduire des
stratgies de maintenance prdictive et de fonctionnement en mode dgrad.
Une premire tape dans cette dmarche consiste dvelopper un modle dinterrupteur de
puissance compos dun IGBT avec une diode en antiparallle qui tient compte des non
linarits des semi-conducteurs tel que les pertes en commutation, les caractristiques
statiques et le temps mort entre les commandes des interrupteurs du mme bras. Ce modle
permettra les simulations lectrothermiques des convertisseurs statiques, et par consquent
lestimation des tempratures de jonctions dans les diffrents semi-conducteurs ainsi que la
surveillance des grandeurs mesurables tel que le courant, la tension Il permet aussi
danalyser les modes de dfaillances.
II.3.1 Insulated Gate Bipolar Transistor (IGBT) fonctionnement

LIGBT (Insulated Gate Bipolar Transistor) est un composant de puissance intgrant dans une
mme structure un transistor MOS et un transistor bipolaire. Leur association permet
lIGBT dallier les avantages de ces deux lments en terme de bipolarit et de modulation de
la conductivit. Depuis sa cration, au dbut des annes 80, les progrs technologiques
apports ont fait de lIGBT un composant clbre, prsent dans diverses applications
notamment lentranement dans le vhicule lectrique.
En fonctionnement normal, la cathode dun IGBT canal n (Fig.1 en annexe 3) est relie la
masse et une tension positive est applique sur la grille pour crer une couche dinversion
dans le puits P sous llectrode de la grille formant ainsi un canal type n. Pour une tension
danode suffisamment grande, des lectrons sont injects depuis la source n ++ vers la rgion
N - travers le canal cr, et des trous sont injects dans la rgion N - depuis le substrat P +
(anode) [BALI 87].
Le courant dlectrons, transitant par le canal, est le courant drain-source du MOSFET (I
MOS
)
est galement le courant de base du transistor PNP. Le courant de trous constitue le courant du
Chapitre II- Modlisation et simulation
65
collecteur du transistor PNP. Le courant total de l IGBT (I
CE
) est la somme des courants de
trous et dlectrons.
II.3.1.1 Caractristiques de lIGBT

Pour des raisons inhrentes aux mcanismes physiques mis en jeu, la ralisation par des
lments semi-conducteurs des fonctions dinterrupteurs quexige llectronique de puissance
est imparfaite. En effet les tats passant et bloqu sont borns par des valeurs limites de
courant et de tension et dgrads respectivement par une chute de tension et un courant de
fuite, ce qui implique une dissipation de puissance et l'chauffement du silicium. De mme, le
basculement dun tat un autre, qui ncessite des conditions de commandes adaptes, nest
pas instantan. Il dpend de la dynamique des porteurs de charge dans le silicium, avec
comme consquence la gnration de pertes de commutation et la limitation de la frquence
de fonctionnement [SHEN 00].
Par consquent, la caractrisation du composant de puissance et lvaluation des contraintes
quil gnre ou quil subit ne se limitent pas lindication des niveaux de tension bloque ou
de courant passant mais font intervenir dautres grandeurs : niveau de commande, dure des
phases successives de la commutation, taux de variation dI/dt et dV/dt du courant et de la
tension ainsi que la temprature du silicium (non accessible).
En dfinitif, les principaux objectifs de performance concernent la tension blocable et le
courant admissible dont le produit reprsente la puissance thoriquement commutable, les
niveaux de commande en courant et en tension, les temps douverture et de fermeture, les
pertes de puissance dans les tats statiques et en commutation et les limites de scurit.
II.3.1.1.1 Caractristiques statiques

Etant compos de deux lments, lIGBT produit un courant qui est la somme du courant
circulant dans le MOS et dun courant bipolaire. Dans les IGBT modernes 80% 90% du
courant IGBT passe dans le MOSFET ainsi on a :
) ( ) ( ) ( ) ( ) ( PNP B D PNP B PNP C PNP E IGBT C
I I I I I I = = = (Eq II.1)
) 1 (
) ( PNP D D PNP D IGBT C
I I I I | | + = = (Eq II.2)
MOS PNP IGBT C
I I ) 1 (
) (
| + = (Eq II.3)
avec I
C
, I
E
et

I
D
sont respectivement les courants collecteur, emetteur et drain.
Chapitre II- Modlisation et simulation
66
En ngligeant les effets de la partie bipolaire qui nintervient pas directement sur le pincement
du canal, alors le courant de drain I
D
(= I
MOS
=I
canal
) est command par la tension V
ge
selon
trois cas :
- Pour Vge< V
T
, le transistor MOS nest pas command, le canal nest pas entirement
form. Par consquent le courant I
MOS
est nul. V
T
reprsente le seuil de cration du
canal du MOS et par analogie celui de l IGBT.
- Pour Vge-V
T
> Vce, le courant I
MOS
est donn par la relation suivante :
( )
2
2
ce
p
ce T ge p MOS
V
K
V V V K I = (Eq II.4)
- Pour Vge-V
T
< Vce :
( )
2
2
T ge
p
MOS
V V
K
I = I (Eq II.5)
La caractristique de sortie I
C
(V
CE
) est constitue de quatre zones principales Fig II-3:


Fig.II-3 Caractristiques statiques de l IGBT

- Zone de blocage : elle correspond, par analogie au MOS, Vge< V
T
et/ou V
CE
<V
f
o
V
f
reprsente le seuil de conduction de la jonction base-metteur du PNP. Le courant
I
C
est nul.
- Zone linaire ou ohmique : la tension de commande de grille V
ge
et la tension V
CE
sont
supposes suprieure leurs seuils. Le courant I
C (IGBT)
est une fonction linaire de
V
CE
. Par analogie au MOS on peut crire
) ( ) ( IGBT CE dn IGBT C
V R I = o R
dn
reprsente la
somme de la rsistance du drain et celle de la base module du PNP. Cette dernire
est faible lorsque lIGBT est en conduction. La rsistance R
dn
est faible par rapport
Chapitre II- Modlisation et simulation
67
la rsistance dun MOS classique de mme calibre que lIGBT manipul. Ainsi, la
chute de tension est infrieure celle dun MOS classique et suprieure celle dun
bipolaire.
- Zone quasi-sature : Dans cette zone, lIGBT est manipul des tensions grille-
source Vge leves et des tensions V
CE
faibles. Elle est caractrise par les coudes
des caractristiques I
C
(V
CE
) correspondant au passage de la zone linaire la zone
sature.
- Zone sature : cest la zone de pincement du canal de la partie MOS. Dans celle-ci, le
courant I
C
est constant indpendant de la tension V
CE
.
II.3.1.1.2 Caractristiques dynamiques

Gnralement, les composants de puissance ne travaillent que dans deux tats extrmes : ltat
bloqu et ltat satur. Les rgimes transitoires correspondant aux changements dtat qui
accompagnent soit le passage de la conduction au blocage, soit le passage du blocage la
conduction sont respectivement les commutations louverture et la fermeture.
Les temps relatifs aux commutations sont intrinsquement lis aux constantes de temps de la
dynamique des charges stockes dans le silicium. Mais, ils dpendent aussi de
lenvironnement circuit du composant (condition de commande, nature de la charge,
prsence ou non des circuits daide la commutation).
Une deuxime catgorie de transitoire correspond aux surcharges en direct qui se traduisent
par une conduction excessive, les surcharges en inverse (le passage du blocage sans courant
au blocage avec courant). En effet, lorsquun composant de puissance est commut ltat
passant, le courant total lui est impos par le circuit extrieur.
Pour des composants de grande taille ou pour des modules de puissance comportant des puces
en parallle, la dissipation transitoire de puissance entrane une rpartition non homogne de
la temprature qui a pour effet la redistribution du courant lintrieur du composant. Nous
nous tendrons sur ces aspects plus loin (-3).
Dans la majorit des cas, les IGBTs sont utiliss dans une configuration de "commutation
dure" de part la topologie dassociation simple du circuit et de son faible cot. Le
comportement en commutation de l IGBT notamment louverture, dans un fonctionnement
sur charge inductive, est tributaire de la diode de roue libre. En outre, les composants
parasites des circuits telles que les inductances parasites ont des effets significatifs sur le
composant en particulier pour des commutations rapides [AZZO 98].
Chapitre II- Modlisation et simulation
68
Pour prsenter les principales caractristiques lectriques de lIGBT pendant les phases de
commutation, nous allons raisonner sur le circuit de la Fig.II-4. On se place dans les
conditions de fonctionnement sur charge inductive idalement clampe (le phnomne de
recouvrement inverse est nglig ce stade). Ce circuit permet dtudier le fonctionnement de
lIGBT en mode de commutation dure.

L
V
BUS
V
G
IGBT
D
f
R

Fig.II-4 Circuit utilis pour tudier la commutation
II.3.1.2 l IGBT en Commutation
II.3.1.2.1 Commutation la fermeture

Le scnario tudi est le suivant :
Alors que la diode de roue libre conduisait le courant I
M,
la tension V
G
passe brusquement de
la valeur V
GG-
V
GG+
afin de commander la fermeture l'IGBT.
L IGBT tant un transistor grille isole, la commutation pendant la phase de fermeture est
commande par la partie MOS du composant. Les courbes idalises de commutation sont
prsentes par la figure II-5.
Pendant la dure t
0
, le transistor est bloqu (V
GE
<Vseuil). La tension V
CE
est gale V
BUS
et la
tension V
GE
croit exponentiellement avec la constante de temps
1 :

1=
R
G
C
ies
(Eq II.6)
avec R
G
: rsistance de grille et C
ies
: capacit

dentre quivalente de l IGBT.


Lorsque la tension V
GE
atteint la valeur V
seuil
, le transistor commence conduire et le courant
dans la diode D
f
dcrot. Tant que la diode conduit V
CE
= V
BUS
et la tension V
GE
continue
voluer exponentiellement. Simultanment le courant collecteur augmente suivant la loi :
dt
dV
g
dt
dI
GE
m
C
= (Eq II.7)
Chapitre II- Modlisation et simulation
69


















Fig.II-5 Courbes idalises de la phase de fermeture
En linarisant la caractristique de transfert Ic(Vce) et en assimilant lvolution de la tension
V
GE
une portion de droite. On voit que le courant Ic croit linairement pendant toute la dure
t
1
. Sa vitesse de croissance est dautant plus leve que la valeur de la rsistance R
G
est faible.
Lorsque le courant I
C
atteint la valeur I
M
, la diode D
f
se bloque et la tension V
CE
commence
dcrotre. Par suite de leffet Miller, la capacit dentre du transistor augmente et devient
gale :
Cin = Cge+ (1-Av)Cgc >> Cies (Eq II.8)
Avec
Cin : capacit

dentre quivalente de l IGBT suite leffet Miller,
Cge : capacit grille-metteur de l IGBT,
Av : gain en tension,
Cgc : capacit grille-collecteur de l IGBT,
Et la tension Vge ne saccrot que trs faiblement pendant la dure t
2
.
Chapitre II- Modlisation et simulation
70
Lorsque la valeur de la tension V
CE
se rapproche de la valeur finale V
CE(on)
, le gain en tension
|Av| du montage et sa capacit dentre diminuent. La tension V
GE
reprend alors sa croissance
avec la constante de temps :

2=
R
G
C


ies <

1
(Eq II.9)

La dcroissance de la tension V
CE
se poursuit ensuite pendant la dure t
3
, ce qui sexplique par
le retard la conduction du transistor PNP.
II.3.1.2.2 Commutation louverture

La phase douverture de l IGBT est initie par annulation de la tension entre les lectrodes de
grille et dmetteur comme pour le MOSFET. La figure II-6 prsente les courbes idalises
pendant la phase douverture de lIGBT.

















Fig.II-6 Courbes idalises de phase douverture
La premire tape de cette phase concerne le retard t
4
, qui est d au temps ncessaire au
circuit de commande, pour rduire la valeur de la tension V
GE
, de sa valeur maximale V
GG+
,
la valeur laquelle la tension collecteur-metteur commence crotre.

t
4
t
5
t
6
t
7
Chapitre II- Modlisation et simulation
71
Pendant la dure t
5
, la tension collecteur-metteur croit et, cause de leffet Miller, la tension
V
GE
reste constante et gale V
plateau
. La tension V
CE
croit donc selon la loi :
gc G
GG plateau
CE
C R
V V
dt
dV
.

= (Eq II.10)
C
gc :
capacit grille-collecteur de lIGBT.

Lorsque V
CE
= V
BUS
, la diode D
f
entre en conduction, et le courant Ic dcrot pendant la dure
t
6
, Ic volue selon la loi dfinie par (5).
Lorsque V
GE
= V
seuil
, le MOS se bloque et la vitesse de dcroissance de Ic diminue. Pendant la
dure t
7
, la tension V
GE
continue dcrotre avec la constante de temps
1
et le courant Ic
dcrot lentement jusqu sannuler.
Cette trane du courant est due la recombinaison des porteurs minoritaires dans la rgion de
conduction de la partie bipolaire. Elle va limiter la frquence de fonctionnement de l IGBT,
et ses caractristiques (dure, amplitude) dpendront des procds de fabrication du
composant.
II.3.1.3 Limites du composant Aires de scurit

Laire de scurit SOA (Safe Operating Area) est une reprsentation simplifie qui permet de
fixer les limites de la tenue en courant et en tension, avec une temprature de jonction ou de
botier spcifie Fig II-7. Elle est donne dans le plan Ic(Vce) pour les transistors de
puissance. Les contours sont des limites maximales absolues pour lesquelles le point de
fonctionnement peut se dplacer sans risque de destruction. Leur dpassement risque de
gnrer un processus de destruction trs rapide, ou au contraire, peut ne se manifester
quaprs un temps assez long.
En gnral, on distingue trois limites distinctes pour laire de scurit.
Pour de fortes tensions et de faibles courants, la tension maximale que peut supporter le
composant est la tension de claquage par avalanche.
Pour de forts niveaux de courant et de faibles tensions de collecteur, le courant maximum que
peut supporter lIGBT est dfini par le niveau auquel le thyristor parasite senclenche,
provoquant ainsi le phnomne de latch-up.
La dernire concerne la zone de forte tension et de fort courant. Lorsque le point de
fonctionnement se trouve dans cette rgion, le composant est soumis une forte dissipation de
puissance qui entrane une lvation de temprature importante dans la structure. De l, les
proprits thermiques de lassemblage dfinissent les limites de laire de scurit.
Chapitre II- Modlisation et simulation
72













Fig. II-7 : (a) Aire de scurit directe (b) Aire de scurit inverse dun IGBT

II.3.2 Modlisation en lectronique de puissance : tat de lart

De nos jours, les simulations en lectronique de puissance sont utilises pour une post
validation des circuits raliss, partir de structure optimise de composant de puissance.
Do la ncessit de mthodes volues de conception fonctionnelle pour les circuits bases
sur des modles prcis et simples pour effectuer de bonnes simulations.
La modlisation des convertisseurs, en particulier, reprsente un souci constant des
lectroniciens de puissance. Outre la description trs complexe du comportement des
lments de commutation, les rsultats issus des premiers simulateurs sont peu fiables.
En effet, les composants de puissance soumis de forts courants et de fortes tensions sont le
sige de grandes variations de temprature, qui modifient les proprits classiques du silicium
et qui ne sont pas prises en compte. De plus, lutilisation doutils bass sur lapproximation
des constantes localises nest plus justifie du fait de la nature distribue des phnomnes de
transport des charges dans les composants semi- conducteur de puissance.
Conscient de cela, les nouveaux simulateurs deviennent de plus en plus performants, prenant
en compte les divers problmes lis aux composant de puissance. Cependant, il est ncessaire
de bien connatre les possibilits de chaque outil, afin de pouvoir choisir celui qui convient le
mieux lutilisateur en fonction de lapplication.
Le but de cet tat de lart est de donner un bref aperu sur les performances actuelles des
simulateurs pour les composants semi-conducteur de puissance, mais aussi, les problmes
poss par la modlisation de ces composants pour la simulation de circuits.
Ces dernires annes, la recherche au niveau des modles de dispositifs semi- conducteur de
puissance sest intensifie. Une tude bibliographique, en particulier sur la modlisation des
IGBTs, montre que plusieurs modles ont t dvelopps, depuis ceux bass sur la notion de
Temps de
commutation
Chapitre II- Modlisation et simulation
73
schma quivalent jusquaux modles de connaissance qui utilisent la rsolution des quations
des semi-conducteurs.
Une liste exhaustive des modles d IGBT structure verticale, publis depuis 1985, est
prsente dans un ordre chronologique dans (Tab.1 annexe 1). Les auteurs ainsi que les dates
de publication de chacun des modles sont spcifis. Les modles sont classs selon la
mthode de modlisation utilise. Une indication sur le degr de complexit du modle est
donne par laffectation dun nombre de 1 5 et qui croit avec le degr de complexit.
Bienque la majorit des modles sont dvelopps pour simuler le comportement du
composant dans le circuit , dautre modles, notamment les modles mathmatiques sont
dvelopps pour mieux apprhender le mcanisme de fonctionnement du composant de
puissance et optimiser sa structure.
II.3.2.1 Modle mathmatique

Cette catgorie correspond aux modles analytiques bass sur la physique des semi-
conducteurs. De la rsolution des quations physiques avec les diffrentes simplifications se
dgagent des expressions analytiques dcrivant les porteurs et leurs comportements
lectriques. Ces expressions peuvent tre implmentes dans diffrentes simulations afin
dmuler le comportement de lIGBT dans diverses applications.
Plusieurs auteurs ont dvelopp des modles analytiques bass sur la physique des semi-
conducteurs [HEFN 90]. Le premier modle a t dvelopp par Baliga, comme un transistor
PNP command par un MOSFET, sparant les deux courants de base (lectrons) et celui du
collecteur (trous).
Dans [KUO 85] la configuration PNP-MOSFET a t valide quantitativement ltat ouvert
avec des composants discrets : MOSFET, transistor PNP et une charge rsistive.
Toutefois, la combinaison des transistors discrets PNP et MOSFET est physiquement
diffrente de lIGBT. La dcroissance du courant de tranage a t assimile une
exponentielle et sa constante de temps a t exprime analytiquement.
Dautres approches sont mises en uvre et prennent en compte certains phnomnes
physiques fondamentaux tel que la modlisation de la conductivit et la charge stocke dans
la zone faiblement dope, les capacits MOS notamment la capacit grille collecteur rsultant
de lisolation de la grille par rapport la rgion semi-conductrice.
Par ailleurs, Kuo et al. [KUO 85] proposent une expression analytique de la tension de
conduction directe qui prend en compte la modulation de la conductivit de la base dans les
IGBTs structures PT et NPT. Ce modle est dmuni de la section du MOSFET, lment
Chapitre II- Modlisation et simulation
74
essentiel dans la simulation des transitoires et savre incomplet pour la modlisation du
courant de tranage (et par consquent la simulation des circuits).
Dans la plupart des cas, la modlisation des divers effets physiques prcits est base sur un
calcul unidimensionnel. Hefner ft le premier dvelopper un modle analytique
unidimensionnel, contrle de charge, ddi limplmentation des simulateurs de circuit.
Les capacits non linaires entre les terminaux, qui affectent le comportement dynamique, ont
t considres.
Kraus et al. [KRAU 93] ont modlis la concentration des porteurs minoritaires la limite
metteur- base en utilisant la moyenne dune distribution latrale sinusodale. La distribution
de porteur dynamique a t approxime par un polynme. Ce modle ne peut tre implment
que dans des simulateurs de circuit complexe tels que Saber.
Un modle mathmatique complet a t mis en oeuvre en [FATE 93], [FATE 96] utilisant les
quations complexes pour chacune des parties du modle (section de la base PNP, section du
canal MOS, capacit, Latchup, leffet JFET, comportement des porteurs dynamiques et leffet
lectrothermique dynamique).
Par ailleurs, la mthode bond graph a t utilise pour modliser les composants semi-
conducteurs de puissance incluant l IGBT. En dpit des rsultats prcis dlivrs, les modles
mathmatiques imposent une plus grande exigence quant leurs implmentations. Daprs
[UDRE 95] une amlioration est apporte au modle en considrant la modulation de la
conductivit de la base drivant de la zone daccumulation de charge sous la grille. Elle a t
modlise par une diode PiN dans le cas dun trench IGBT (TIGBT).
Cependant, la majorit des composants semi- conducteur de puissance ont des structures
avec des effets bidimensionnels mais aussi tridimensionnels. Par consquent, la modlisation
unidimensionnelle est insuffisante pour dcrire prcisment leur comportement. Cette
approche bidimensionnelle a t adopte par Sheng et al. pour la modlisation de la
conductivit de base dans le cas dun DIGBT normal [SHEN 00] en rsolvant des quations
bidimensionnelles de distribution de porteurs. Ce modle peut tre utilis dans la simulation
des circuits ainsi que pour lanalyse du composant. Ces mmes effets ont t modliss dans
lIGBT latral. La distribution bidimensionnelle des porteurs statiques a t exprime sous
forme de srie par Feiler et al. [FEIL 95], [NAPO 97]. Il existe bien dautres techniques
mathmatiques pour rsoudre les quations diffrentielles de manire analytique. La
transformation de Laplace est souvent utilise en ce qui concerne les modles de composants
de puissance.
Chapitre II- Modlisation et simulation
75
Dans [STRO 97] les transformes de Laplace des quations de transport de porteur sont
implmentes directement dans des sous circuits lectriques pour modliser l IGBT. En
principe, ces mthodes peuvent conduire des solutions exactes, cependant elles sont
fortement dpendantes des conditions aux limites et une infinit de sries existe. Du fait que
ces sries doivent tre tronques, afin dobtenir des expressions simples et qui ne demandent
pas des temps de calcul trop importants, les solutions sont donc des approximations.
Dans la majorit des cas, il nest pas vident dobtenir des solutions analytiques exactes issues
des quations du semi-conducteur qui sont utilises comme quation de base. En outre le
dveloppement de tels modles (mathmatiques) ncessite de satisfaire simultanment des
demandes antagonistes, comme une grande prcision, une faible consommation en temps de
calcul et des paramtres de modles faciles dterminer. Par consquent dautres solutions
peuvent tre employes notamment lapproche de modles comportementaux.
II.3.2.2 Modles semi-mathmatiques

Les modles semi mathmatiques sont en partie bass sur la physique des semi-conducteurs
tout en combinant des modles existants [MIHA 95]. C'est le cas pour la famille Spice par
exemple ou Saber, pour dautres composants.
La plupart de ces modles sont ddis pour ltude de certains aspects spcifiques tel que la
modulation de la conductivit de base, les capacits non linaires entre les terminaux, la
dpendance de la dure de vie des porteurs et la vitesse de monte de la tension louverture
du composant de puissance.
Dans [CHEN 91] un modle Ebers-Moll modifi a t utilis pour le transistor bipolaire BJT.
Des capacits non linaires sont modlises de diffrentes manires : physique [SHEN 96] et
polynomiale [KIM 93].
II.3.2.3 Modles comportementaux

Lapproche du modle comportemental consiste considrer le composant comme une boite-
noire et dcrit son comportement externe observ, sans rendre compte des phnomnes
physiques survenant lintrieur du composant.
Les modles standard des composants de faibles puissances, qui sont disponibles dans les
simulateurs de circuits, sont adapts pour les composants semi-conducteur de puissance en
optimisant leurs paramtres. Ces modles sont fonds sur une description fonctionnelle pure
dont les paramtres et les quations nont pas de sens physique. Cependant ces modles sont
Chapitre II- Modlisation et simulation
76
mal adapts la simulation des phnomnes se produisant pour de fortes puissances
notamment pour la prise en compte des aspects thermiques.
Lutilisation des bases de donnes offre des possibilits de modlisation comportementale.
Les relevs rsultant directement de mesures ou de calculs sont stocks dans des tableaux de
donnes et rutiliss pour la simulation. Cette technique est bien adapte aux simulations en
mode statique. Mais, il devient difficile de ltendre aux modes transitoires. En effet, le
comportement en mode transitoire des composants semi-conducteurs peut dpendre dun
grand nombre de conditions, qui rsultent de ltat du composant avant la commutation et des
interactions du composant avec les autres lments du circuit pendant la commutation. Il
serait difficile de reproduire tous les scnarii qui sont lis la topologie du circuit utilis.
Dans [TZOU 93], les caractristiques de sortie de l IGBT sont modlises par une rsistance
et une source de courant. Les valeurs de rsistance, la source de courant et les capacits non
linaires sont obtenues partir dune table (look up table).
Par ailleurs, les quations des modles fonctionnels (comportementaux) sont souvent des
expressions mathmatiques empiriques qui dcrivent de manire simple le comportement
externe observ, en considrant les effets physiques lintrieur du composant. Par exemple
les modles dans [CLEM 93] et [BLAA 96] utilisent la mthode curve fitting pour
lestimation des pertes dans l IGBT. Mais ces modles ne permettent pas de prdire les
caractristiques dynamiques et statiques.
Toutefois, pour une description des effets dynamiques, il est souvent ncessaire dinclure des
variables supplmentaires dans le systme dquation, par exemple des variables internes
reprsentant la charge au sein du composant. Les relations entre ces variables internes et la
forme des courant et des tensions externes, sont dcrites par des fonctions mathmatiques
issues principalement dhypothses provenant de la physique des composants. Les diffrentes
phases de commutation, tel que la dcroissance du courant au blocage de l IGBT, sont
dcrites par diffrentes fonctions. Les solutions sont ajustes, afin de garantir une continuit
sur les valeurs des grandeurs calcules.
Il sajoute cette catgorie de modles (comportementaux), la modlisation par des circuits
lectriques quivalents. Cette approche consiste transcrire les quations physiques ou les
caractristiques statiques et dynamiques du composant actif par des lments lectriques. Ce
type de modle dcrit le comportement quantitatif dans les diffrentes zones de
fonctionnement du composant en commutation (formes dondes, temps de commutations,
pertes, etc.). Ces modles peuvent tre utiliss pour la prvision du comportement des
Chapitre II- Modlisation et simulation
77
composants et des circuits associs. Ainsi valids, ils peuvent rsoudre plusieurs problmes de
conception se posant dans les systmes de puissances.
A cet effet, Bonaf et al. ont labor un modle quivalent d IGBT bas sur la description de
sa structure interne. L IGBT est reprsent par une combinaison de transistor MOS et
bipolaire. Pour des raisons de portabilit vers dautres environnements comme Spice, Saber,
Succes, la description du modle utilise des lments linaires (R, L, C, I, V) [BONA 95].
Dautres auteurs ont labor un modle comportemental de l IGBT partir de la mme
description, utilisant lenvironnement SIMUL. Ce modle tient compte des charges stockes
dans les capacits inter lectrodes tel que la capacit grille collecteur [ELWA 98].
II.3.2.4 Modles semi-numriques

Les rsultats les plus prcis sont obtenus par les mthodes numriques, qui sont bases sur la
discrtisation de la rgion tudie en un nombre fini de points constituant le maillage. Deux
mthodes sont utilises : la mthode des diffrences finies et celle des lments finis. La
combinaison de la mthode de discrtisation avec les modles mathmatiques constitue une
classe semi numrique.
Lapproche semi numrique a t utilise dans [METZ 94] pour la modlisation du
comportement dun IGBT. Les quations de diffusion ambipolaire ont t rsolues
numriquement dans la base discrtise, les autres parties du composant sont modlises
mathmatiquement.
II.3.3 Modle labor de l IGBT

Le dveloppement de convertisseurs de puissance de plus en plus performants et complexes
entrane invitablement des contraintes d'une grande svrit sur les composants actifs
utiliss. La fiabilit de fonctionnement d'un convertisseur est essentiellement dtermine par
son comportement en rgime extrme qui apparat comme un fonctionnement particulier
devant tre pris en compte ds la conception du convertisseur. Do la ncessit de
lapplication dune dmarche structure de sret de fonctionnement afin de mieux prendre en
compte les aspects inter-systmes en cas de panne [ARLA 99].
Dans ce contexte, llaboration d'un modle prcis de semi-conducteur qui tient compte des
grandeurs limites relatives au courant, la tension et la temprature est considrer.
Les modles de semi-conducteurs en lectronique de puissance sont peu nombreux, en plus
les limitations inhrentes ces modles, fait que leur comportement binaire dans les
Chapitre II- Modlisation et simulation
78
simulations des systmes d'lectroniques de puissance rend impossible l'analyse des rgimes
dfaillants [ERAN 91] [FOSS 88] [HEFN 88].
Une tape essentielle dans l'laboration de ce travail est la conception dun modle fin de
semi-conducteur faisant intervenir la variable temprature et permettant de modliser les
rgimes extrmes de fonctionnement.
Nous avons tent dans un premier temps dtendre et dadapter le modle de l' IGBT de la
bibliothque de Matlab. Il s'est avr que la bibliothque de Matlab n'est pas ouverte et qu'on
ne peut pas tendre les modles de Power_lib. Il devient impratif de dvelopper notre propre
modle d'interrupteur en utilisant lenvironnement Matlab.
II.3.3.1 Modle d IGBT par Stateflow et Matlab

Dans un premier temps, une mthodologie de modlisation a t aborde par deux approches :
hybride et fonctionnelle. Cette mthodologie est dtermine par une analyse systmique, dans
une structure globale et cohrente. Ce modle est du type fonctionnel, structurel et
comportemental, organis en niveaux de formalisation descriptif, qualitatif et quantitatif. La
modlisation descriptive est une reprsentation graphique des systmes. L'laboration du
modle a ncessit une connaissance approfondie du systme, de son environnement afin de
dterminer les conditions de synchronisation. En effet, notre systme montre un
comportement discret et continu. Comme premire approche pour la modlisation et la
simulation du fonctionnement de l'IGBT, nous avons adopt la technique de modlisation
hybride (ou mixte) ddie pour les systmes discret- continus. Il s'agit d'une dcomposition du
systme en une partie temps-continu et une partie vnements discrets. Les squences
continues sont ralises par des blocs analogiques et simuls par Simulink de Matlab. Les
discontinuits sont provoques par des actions discrtes, ralise par l'outil Stateflow de
Matlab. Lagencement de ses lments est contraint par une syntaxe, celle des processus
physiques. Chacun deux est une classe dquivalence dotant le langage dune smantique.
Notre objectif est de trouver une procdure de conception de modle de composant de
puissance, rpondant au mieux aux exigences de la simulation, afin de contourner le problme
de limitation du modle d' IGBT de la bibliothque de Matlab.
Notre contribution est divise en deux parties :
la premire partie consiste exposer notre approche pour llaboration du modle de
composant de puissance en se basant sur la mthode des graphes dtats.
Chapitre II- Modlisation et simulation
79
la seconde partie consiste tudier l' IGBT comme exemple d'application et vrifier
la validit du modle propos par la comparaison des rsultats de simulation avec le
modle d IGBT intgr dans le bloc Sim Power System de Matlab.
L'originalit de la dmarche apparat dans l'utilisation de la mthode de graphe d'tats par le
biais du module Stateflow de Matlab. Comme pour la mthode des graphes de liens, cette
approche permet de reprsenter des phnomnes plusieurs tats, dans les dispositifs semi-
conducteurs [LAUT 98].
L'tude du composant de puissance en commutation est facilite par l'utilisation de la
dcomposition fonctionnelle en plusieurs graphes d'tat interprtant la causalit squentielle
de l'interrupteur. Cette mthode offre la possibilit de simuler cette structure numriquement
l'aide d'un modle structur.
Notre mthodologie consiste sparer la partie commande (PC) ou commande interne de la
partie oprative (PO) [DEGO 92] :
PC est dfinie par les fonctions temporelles propres la structure.
PO est significative des fonctions spatiales (topologiques) de cette mme structure.
Un formalisme d'analyse particulier est appliqu chacun des blocs. Le temps intervient
implicitement dans cette reprsentation fonctionnelle travers l'volution des grandeurs
influentes tel que la commande interne et la commande externe :
pour la partie oprative PO, les quations d'tat rgissent le fonctionnement dans
chaque configuration impose par la partie commande.
pour la partie commande PC, le graphe d'tat explicite tout instant l'tat des
interrupteurs.
Le graphe fonctionnel relatif la partie commande comporte cinq tapes. La phase de blocage
se caractrise par deux tapes diffrentes : la descente et le tranage du courant.
La premire tape correspond l'tat bloqu, cet tat est modlis par un circuit
ouvert.
La seconde tape correspond la mise en conduction qui est modlise par une rampe
de tension linaire de pente 0.8Vm/tr (tr : le temps de monte du courant).
La troisime tape correspond l'tat conducteur. Cet tat est modlis par une source
de tension E
0
en srie avec une rsistance interne R
0
, caractrisant respectivement le
seuil de conduction et les pertes par effet Joule.
Chapitre II- Modlisation et simulation
80
La quatrime tape correspond la premire phase dextinction : la descente du
courant. Cet tat est modlis par un gnrateur de courant linaire de pente -
0.8Im/t
tail
(voir Annexe 6 liste des paramtres).
La cinquime tape correspond la seconde phase d'extinction qui est caractrise par
un tranage du courant. Cet tat est modlis par un gnrateur de courant linaire de
pente -0.1Im/t
tail

La partie oprative se caractrise par deux modules distincts :
le premier correspond au multiplexeur qui permet de slectionner le modle fix par le
graphe de commande.
le second comprend les fonctions relatives aux temps de commutations (t
r
, t
f
et t
tail
)
permettant le squencement des modles prcdents.
A partir des caractristiques (temps de commutation en fonction du courant Ic et temps de
commutation en fonction de la temprature de jonction) fournies par le constructeur, les
relations empiriques des temps de commutation en fonction du courant commut et de la
temprature de jonction sont tablies par [DEGO 92] :
2 1
0
kr
j
kr
cm r r
I k t u = (Eq II.11)

2 1
0
kf
j
kf
cm f f
I k t u = (Eq II.12)

2 1
0
kt
j
kt
cm t tail
I k t u = (Eq II.13)

La figure II-8 est une reprsentation dynamique de linterrupteur physique.









Fig.II-8 Equivalence fonctionnelle du composant physique

Les tats de k1 k5 explicitent les mcanismes de changement d'tat. Ltat k1 correspond
l'tat ouvert, lorsque la condition de fermeture est satisfaite, la transition est valide, dans ce


0.8VmT
Tr

0.8IcmT
Tf

0.8IcmT
Ttail

R0

E0

k1
k21
k31
k41
k51
C

E

PO

(CLOSED k1=1)
(k2=1)
Vce>0 &
g>0
Ic<0
(k4=1)
g<0
Vce>0 &
g>0
(k5=1)
(k3=1)
Ic<0
g<0
Ic<0.1Icm
Ic<0
Vce=E0+R0Ic
PC
k1, k2, k3, k4
Vce, Ic

g
Chapitre II- Modlisation et simulation
81
cas k1= 1. Pour le cas k2= 1, l'tat k2 dcrit la phase de dbut de conduction. L'tat k3 dfinit
par k3= 1, illustre la phase de conduction. L'tat k4 correspondant la phase d'extinction est
caractris par k4 = 1. Ltat k5 prsente la phase de tranage (k5 = 1). Ltat k6 dcrit la zone
de plateau.
Cette approche a t utilise en vue de dvelopper un modle de composant de puissance sous
l'environnement Matlab 6.5 de Mathworks. Il s'agit du composant IGBT pris comme exemple
d'application. Notre objectif est d'tudier le comportement du modle, nomm sw_igbt, dans
les limites de son aire de scurit.
Le modle propos est une combinaison d'un bloc Simulink et un diagramme Stateflow
[STAT 94]. Ceci permet de transcrire les diffrentes topologies inhrentes aux divers
changements d'tats [SALA 01] [TERR 99]. La partie oprative est simule avec Simulink
Fig. II-9 et la partie commande est excute avec le module Stateflow Fig. II-10.
La gnration des vnements partir de Simulink nous dlivre l'activit des tats. Le
parcours des diffrents ki fournit les diffrents scnarii.


Fig.II-9 Schma de simulation de sw_igbt avec Matlab


PC


Vce
Ic
Chapitre II- Modlisation et simulation
82

Fig.II-10 Diagramme dtaill Stateflow de la partie commande de sw_ igbt


Les simulations sont ralises avec Matlab 6.5. Afin d'valuer les performances du modle
propos, les rsultats de simulation sont confronts au modle IGBT de la bote outils Sim
Power System (SPS) de Matlab dans des conditions de simulation analogues.
La comparaison de l'volution du courant et de la tension des deux modles est explicite par
l'erreur relative exprime par lexpression :

IGBT SPS C
IGBT SW C IGBT SPS C
err C
I
I I
I
_ _
_ _ _ _
_
(%)

= (Eq II.14)
IGBT SPS CE
IGBT SW CE IGBT SPS CE
err CE
V
V V
V
_ _
_ _ _ _
_
(%)

= (Eq II.15)

Fonctionnement normal de sw_igbt :

Notre modle a t valu dans plusieurs applications test tel que le circuit hacheur montr
par la Figure II-11, k1 reprsente l'lment IGBT modlis par sw_igbt.








[Vce>1.8 &g>0]
[Ic<0|Vce<0]
[Ic<=0|Vce<0]
[Vce>=E0+R0*Ic]
[Ic<=0.1*Ic]
[Ic<=0.8*Ic]
[g<0]
[g<0]
[Vce>0 & Ic>0 &
K1_on
K3_on
K2_on
K5_on
K4_on

K6_on
[Ic<Isat]
[Ic>Isat]
Chapitre II- Modlisation et simulation
83

Fig.II-11 Schma du circuit hacheur

La figure II-12 illustre le schma de simulation du circuit hacheur comprenant le modle
sw_igbt.



Fig.II-12 Schma de simulation du circuit hacheur

Les simulations sont effectues avec les mmes paramtres tel que le pas de simulation,
l'erreur tolre et l'erreur absolue.
Les volutions du courant et de la tension de charge obtenues par la simulation, sont montres
la figure II-13 (trac en noir). Les signaux du hacheur raliss avec le modle de la boite
outils SPS (psb_igbt) sont en pointill rouge.
Chapitre II- Modlisation et simulation
84
0 0.5 1 1.5 2 2.5 3
0
5
10
15
20
SW-IGBT(black) and PSB-IGBT(Red) chopper load currents vs time
t(s)
I
c
h
(
A
)
0 0.5 1 1.5 2 2.5 3
-50
0
50
100
SW-IGBT(black) and PSB-IGBT(Red) chopper load voltages vs time
t(s)
V
c
h
(
V
)

Fig. II-13 Evolutions du Ich et Vch dans les hacheurs sw_igbt et psb_igbt


La comparaison des rsultats de simulation qui est donne par la figure II-14 montre que
l'erreur est ngligeable ne dpassant pas 0.1%.
Par ailleurs, le temps d'excution est comparable celui du systme utilisant le modle de la
boite outils (psb_igbt). De plus, notre systme prsente une structure ouverte qui permet de
l'tendre au mode de fonctionnement non dcrit des modles non linaires.
0 0.5 1 1.5 2 2.5 3
-0.5
0
0.5
1
error on load"s voltage Vch versus time
t(s)
V
e
r
r
(
%
)
0 0.5 1 1.5 2 2.5 3
-0.5
0
0.5
1
Chopper/ error on load"s current Ich versus time
t(s)
I
e
r
r
(
%
)

Fig. II-14 Erreur relative en pour-cent sur Ich et Vch du hacheur


Le modle sw_igbt a t galement valu dans le cadre d'une application d'onduleur [CHAR
02].

Chapitre II- Modlisation et simulation
85
Fonctionnement en rgime satur :

Le modle initial sw_igbt est tendu pour couvrir le rgime de saturation en ajoutant un tat k6
dans la partie commande. Ltat k6 est activ sur la condition Ic>Isat Fig.II-10. La
dsactivation seffectue lorsque Ic<Isat.
Ltude du fonctionnement en mode satur a t effectue, pour le modle sw_igbt, dans
lapplication hacheur. La figure II-15 illustre l'influence de la saturation sur le courant et la
tension dans la charge.
0 0.5 1 1.5 2 2.5 3
0
50
100
150
SW-IGBT(black) and PSB-IGBT(Red) chopper load currents vs time
t(s)
I
c
h
(
A
)
0 0.5 1 1.5 2 2.5 3
-200
0
200
400
600
800
SW-IGBT(black) and PSB-IGBT(Red) chopper load voltages vs time
t(s)
V
c
h
(
V
)


Fig.II-15 Evolutions de Ich et Vch des hacheurs avec sw_igbt et psb_igbt lors de la saturation


Conclusion partielle

Cette mthode est base sur la dcomposition fonctionnelle du composant physique, en une
partie commande et une partie oprative, en utilisant la thorie des graphes d'tat.
Les rsultats de simulation, sur les configurations de base des circuits de puissance : hacheur
et onduleur, montre la validit du modle propos en comparaison avec les modles de la
bibliothque de Matlab.
Le modle propos est tendu pour tenir compte du phnomne de la saturation. Les
simulations ont donn des rsultats exploitables dans lanalyse des rgimes dgrads
[CHAR02_1].
La mthode propose est extensible pour la modlisation des autres composants de
l'lectronique de puissance.
Chapitre II- Modlisation et simulation
86
Cependant, la prise en compte, dans le cadre de la cellule de commutation, du caractre la
fois non linaire, variant et rparti des phnomnes de natures diverses souvent coupls
notamment les effets lectriques et thermiques pour les semi-conducteurs savrent assez
complexe par cette approche.
II.3.3.2 Extension du modle d IGBT de Sim Power System

Une seconde approche consiste tendre le modle IGBT de la bibliothque Power System
Blockset de Matlab [PSB 94] (appel aussi Sim Power System dans la nouvelle version de
Matlab 6.5). Ce modle est dcrit par la figure II-16. La caractristique statique est montre
sur la figure II-17.
Utilisant l'approche des systmes continus, lIGBT_SPS est bas sur les notations de schma
fonctionnel considr comme tant formel. En effet, un modle mathmatique peut tre
associ aux diffrents blocs.
Notre objectif est dtendre sa dynamique de fonctionnement pour couvrir le fonctionnement
dans les zones de saturation et de claquage et de prendre en compte les effets dchauffement
dans le composant.


Fig.II-16 Prsentation du modle de l IGBT de SPS de Matlab
Chapitre II- Modlisation et simulation
87

Fig. II-17 Caractristique statique du modle de lIGBT_SPS



Fig. II-18 Les temps de descente et de tranage lors de la commutation louverture de
lIGBT_SPS

Le modle de IGBT_SPS fournit des rsultats prcis, en simulations lectriques, dans les
limites de laire de scurit S.O.A. Nanmoins, en dehors de cette aire le modle prsente
certaines limitations notamment la simulation du comportement lectrique et thermique du
composant IGBT.
Afin de contourner cette limitation, il est ncessaire dapporter des amliorations ce modle.
Lextension du modle consiste en une modlisation structurelle base sur le modle SPS de
Matlab comme primitive, utilisant une description par des sous-systmes [BONA 95].
Le bloc IGBT_SPS implmente un composant semi-conducteur contrlable par le signal de
grille. L IGBT est simul par une rsistance Ron, une inductance Lon et une source continue
Chapitre II- Modlisation et simulation
88
de tension en srie avec un interrupteur idal contrl par un signal logique (g>0 ou g=0)
(figure II-16). Le modle est dcrit par lquation diffrentielle :
dt
dI
L I R V Vce
n n f
.
0 0
+ + = (Eq II.16)
Le schma lectrique a t modifi en intgrant une rsistance non linaire en remplacement
de la rsistance fixe R
n 0
. Diffrentes valeurs de rsistance sont considres selon la zone de
fonctionnement de lIGBT. La rsistance dynamique est fournie par linverse du gradient de
la caractristique Ic= f(Vce) un point de fonctionnement donn. La valeur de Ron est
dpendante de la temprature T qui sera value dans la partie suivante.
La tension Vf est introduite dans le modle comme tension de seuil pour la conduction. Cette
tension est aussi lie la variation de la temprature et de lordre de grandeur de la tension
dalimentation. Dans la zone de plateau le courant est limit au courant de court circuit
tandis que la tension Vf continue crotre. Le claquage survient lorsque Vf atteint la valeur
limite Vmax. Le schma de principe ainsi que le schma bloc du modle tendu de l IGBT
sont prsents respectivement sur les figures II-19 et II-20.



Lon
Vf
Ic
Ron
IGBT Logic
Vce
C
E
Vmax
T
g
Sw

Fig.II-19 Schma de principe du modle tendu de l IGBT

Chapitre II- Modlisation et simulation
89
Ic
Vce
Vmax
Conduction
Logic
current
computation
state
desatu
ration
SW switching control
operating
Part
Ron & Vf
computation

Fig.II-20 Schma bloc du modle tendu de l IGBT
Les amliorations apportes aux fichiers *.mdl du modle de l IGBT_SPS consistent :
- Modifier la rsistance R
n 0
fixe, reprsente par un bloc gain constant, par une
rsistance variable permettant de limiter le courant direct dans le composant. Pour cela,
nous avons utilis un premier bloc "look_up table" traduisant la diminution de la
rsistance du composant dans la zone plateau par rapport sa valeur dans la zone de
conduction linaire. Un second bloc "look_up table" est utilis, exprimant la forte
augmentation de la rsistance pour le fonctionnement dans la zone de claquage (indiqu
en rouge sur la figure II-21).
- comparer la tension Vce par rapport Vm, la tension de claquage. Ceci est ralis par
lajout dun comparateur, dun bloc Vm dans le bloc de logique de conduction (indiqu
en rouge sur la figure II-22).
Le courant intgr I
ce_intgr
est contrl par la variable etat (la sortie 1) du bloc logique de
conduction Fig.II-21.
Si g>0 et Vce >0 la sortie [bool5] est active la valeur 1 favorisant la conduction.
Si Ice>0, la sortie du bloc saturation [I>0] est activer la valeur 1. Par consquent, la sortie
du sommateur se met la valeur 2 dpassant ainsi la limite suprieure fixe 1.5 (upper
limite). Alors, la sortie du bloc saturation [I>1.5] est 1.
Donc si g>0 et (Ice >0 ou Vce>0) alors etat est 1. Lorsque tat est activ, on assiste
une intgration du courant qui sera livr vers la sortie Ice via le bloc subsystem (bloc de
tranage).

SW switching control
Chapitre II- Modlisation et simulation
90
Nanmoins, quand tat passe de 1 0 le tranage est activ par une intgration au cours du
temps, en utilisant le bloc look_up table de Simulink dans lequel on fait introduire les
diffrents temps de descente t
f
et de trane t
t
(Fig II.23).
Si Vce <Vm, la sortie "dsaturation" contrle la dsaturation / claquage est dsactive (elle
vaut zro). On fait passer alors le courant intgr limit par le courant du plateau ayant pour
valeur I
sat
(fig II.24).
Si Vce >Vm et g>0, la sortie "dsaturation" est ltat 1 et " etat" est 1 on fait passer tout le
courant pour simuler le claquage.
La Fig II-23 dcrit le schma de simulation de la commutation louverture et la fermeture
du modle de l IGBT. A la fermeture, le courant intgr est modul par une fonction de
transfert de premier ordre (quation. 16) traduisant la monte du courant. Quant la phase de
louverture, le courant intgr est modul par une table "look up table" dcrivant la descente
ainsi que le tranage du courant.




Chapitre II- Modlisation et simulation
91


1
I
c
e
-
C
-
0
S
w
i
t
c
h
1
S
w
i
t
c
h
I
n
1
I
n
2 O
u
t
1
S
u
b
s
y
s
t
e
m
1
I
c
e
_
i
n
t

g
r

e
t
a
t
I
c
e
_
S
u
b
s
y
s
t
e
m
P
r
o
d
u
c
t
P
L
o
o
k
-
U
p
T
a
b
l
e

(
2
-
D
)
1
L
o
o
k
-
U
p

T
a
b
l
e
1
I
c
e
gV
c
e
T
e
t
a
t
D

s
a
t
u
r
a
t
i
o
n
V
f
L
o
g
i
q
u
e

d
e
c
o
n
d
u
c
t
i
o
n
1
1s
x
o
I
n
t
e
g
r
a
t
o
r
[
I
C
]
I
C
1
s
i
g
n
a
l
+
-
C

V
S
1
/
L
o
n
1
/
L
o
n
1
D
2
2g
1
V
c
e
F
i
g
.
I
I
-
2
1

S
c
h

m
a

d
e

s
i
m
u
l
a
t
i
o
n

d
u

m
o
d

l
e

d
e

l

I
G
B
T

p
a
r

M
a
t
l
a
b

Chapitre II- Modlisation et simulation
92


O
R

1
d
o
u
b
l
e

3
d
o
u
b
l
e

2
d
o
u
b
l
e

1
b
o
o
l

5
b
o
o
l

4
b
o
o
l

2
b
o
o
l

3
b
o
o
l

1
c
o
m
p

2
c
o
m
p

1
3
V
f
2
D

s
a
t
u
r
a
t
i
o
n
1
e
t
a
t
n
1
>
c
o
m
p

3

>>
T
e
s
t
2
R
e
l
a
y
1
L
o
o
k
-
U
p

T
a
b
l
e
4
I
n
1O
u
t
1
I
n
t

g
r
a
t
e
u
1
I
n
1
O
u
t
1
I
n
t

g
r
a
t
e
u
I
>
1
.
5
I
>
0
(
b
o
o
l
e
a
n
)
(
b
o
o
l
e
a
n
)
(
b
o
o
l
e
a
n
)
(
d
o
u
b
l
e
)
(
b
o
o
l
e
a
n
)
(
b
o
o
l
e
a
n
)
(
d
o
u
b
l
e
)
(
d
o
u
b
l
e
)
A
N
D
A
n
d

2
A
N
D
A
n
d

1

O
R
V
m




1
0






e
p
s




4T 3
V
c
e
2g
1
I
c
e
z
e
r
o

c
u
r
r
e
n
t
F
i
g
.

I
I
-
2
2

S
c
h

m
a

d
e

s
i
m
u
l
a
t
i
o
n

d
e

l
a

"
l
o
g
i
q
u
e

d
e

c
o
n
d
u
c
t
i
o
n
"

d
u

m
o
d

l
e

d
e

l

I
G
B
T

p
a
r

M
a
t
l
a
b


Chapitre II- Modlisation et simulation
93
1
Ice_
1
1e-8s+1
Transfer Fcn1
Switch1
Product
Look-Up
Table
1
s
Integrator1
1
2
etat
1
Ice_intgr


Fig II-23 Bloc de simulation de la commutation la fermeture et louverture du modle de
lIGBT

La Fig.II-24 montre la caractristique de transfert Ic=f(Vce) du modle tendu de lIGBT avec
les trois zones de fonctionnement linaire, la saturation et le claquage.

Ic
Vmax Vf 0
claquage Normal saturation
Vce
Isat

Fig.II-24 Caractristique de transfert Ic=f(Vce) du modle tendu de lIGBT


Cette approche de modlisation permet une grande efficacit en terme de calcul ainsi quun
libre accs, pour les utilisateurs, aux paramtres internes du modle.
Cette approche permet linvestigation de la variation de la temprature de jonction et ses
effets sur les rsistances dynamiques et la tension de seuil de conduction.
Bloc dextinction louverture
Chapitre II- Modlisation et simulation
94
Les simulations ont t effectues dans lenvironnement Matab/Simulink et la boite outils
Sim Power System de Matlab. Cet environnement permet de modliser le circuit lectronique
de puissance en implmentant directement son schma de circuit partir des propres blocs de
la bibliothque et des blocs dvelopps par lutilisateur.
Le modle dvelopp prcdemment est utilis dans des applications tests notamment le
circuit de commutation de base. Les paramtres du composant, utilis en simulation, sont
ceux du composant IGBT SKM 75 GB 123, de Semikron.
La figure II-25 illustre les zones linaires, du plateau et du claquage, sur la caractristique de
transfert obtenues, par simulation.
0 200 400 600 800 1000 1200
-100
0
100
200
300
400
500
600
700
800
900
1000
Characteristic Ic(Vce)
Vce(V)
I
c
(
A
)

Fig.II-25 Caractristique de transfert par simulation
II.3.3.3 Validation du modle tendu d IGBT

Afin de valider le modle de l IGBT tendu plusieurs simulations ont t effectues sur
diffrentes applications test, tel que le hacheur et londuleur. Les rsultats de simulation sont
compars ceux du modle de l IGBT_SPS provenant de la bibliothque SPS en utilisant les
mmes paramtres de simulation notamment pour le pas variable et lerreur tolre [CHAR
02_2].
II.3.3.3.1 Cas du hacheur

Un circuit hacheur direct de base, prsent en Figure II-26, est utilis comme application test.
Le signal de contrle de la grille est obtenu par une modulation de frquence et est appliqu
la grille isole de l IGBT nomm k1. D1 dsigne la diode de roue libre.
Chapitre II- Modlisation et simulation
95



K1
V
ch
R
L
E
g
D1

Fig.II-26 Schma dun circuit hacheur

- Mode normal

La figure II-27 montre l'volution du courant et de la tension de charge obtenue, par
simulation, des deux modles de hacheur raliss avec le SPS_IGBT et l IGBT tendu en
rgime de fonctionnement normal. Le courant ainsi que la tension dans la charge avec le
modle tendu sont reprsents en trait continu alors que celui du SPS_IGBT est dessin en
trait rouge discontinu.
La validit du modle propos est dmontre partir des deux signaux courant (Ich) et tension
(Vch) dans la charge R
L
. En effet la monte de courant di/dt durant la commutation
correspond celle du SPS_ IGBT.
0 0.5 1 1.5 2 2.5 3
0
20
40
60
chopper- Ich(t) igbt-mod(-) / igbt-lib(.)
t(s)
I
c
h
(
A
)
0 0.5 1 1.5 2 2.5 3
-100
0
100
200
300
400
chopper- Vch(t) igbt-mod(-) / igbt-lib(.)
t(s)
V
c
h
(
V
)


Fig.II-27 Evolution du courant et de la tension de charge du hacheur en mode normal. (a)
avec le modle tendu en trait continu. (b) avec SPS-IGBT modle en trait rouge discontinu


Chapitre II- Modlisation et simulation
96
- Mode satur

Le hacheur est aliment avec une tension dpassant les limites tolres afin de simuler le
mode de saturation. Nous constatons que le courant ainsi que la tension dans la charge R,L
sont affects, quand l IGBT opre en mode satur Fig.II-28. Ce rsultat pourrait tre exploit
dans lanalyse des modes dgrads.
0 0.5 1 1.5 2 2.5 3
0
50
100
150
chopper- Ich(t) igbt-mod(-) / igbt-lib(.)
t(s)
I
c
h
(
A
)
0 0.5 1 1.5 2 2.5 3
-200
0
200
400
600
800
chopper- Vch(t) igbt-mod(-) / igbt-lib(.)
t(s)
V
c
h
(
V
)


Fig.II-28 Evolution de la tension et du courant de charge du hacheur en mode satur. (a)
avec le modle tendu en trait continu. (b) avec SPS_IGBT en trait rouge discontinu

II.3.3.3.2 Cas de londuleur

Afin de valider notre modle, un convertisseur direct DC/AC deux bras dIGBT alimentant
une charge R,L est utilis Fig.II-29.
- Mode normal

Les deux signaux de sortie courant et tension de charge dans londuleur, en rgime de
fonctionnement normal, sont montrs sur la figure II-30, comme des exemples de simulation.
Ils illustrent les courants dans la charge R,L des deux convertisseurs utilisant SPS_IGBT et le
modle tendu de lIGBT, qui sont prsents sur la partie haute de la figure, et les tensions en
bas de la figure.
Chapitre II- Modlisation et simulation
97


L
E

R
T1 T3
T2 T4
D1
D2 D4
D3

Fig.II-29 Schma lectrique de londuleur deux bras



Fig.II-30 Evolution du courant et de la tension dans la charge de londuleur en mode normal
(a) avec le modle tendu en trait continu.
(b) avec le modle SPS_IGBT en trait discontinu

- Mode satur

Afin dinvestiguer le comportement du prsent modle d IGBT en mode satur, londuleur
deux bras est aliment une tension dpassant les limites tolres, ainsi, lIGBT tendu est
port la saturation.
Les rsultats de simulation issue des deux modles SPS_IGBT et le modle tendu montrent
que la saturation affecte les deux signaux courant et tension Fig.II-31, lorsque Ic atteint le
plateau (Isat).
0 0.5 1 1.5 2 2.5 3
-100
-50
0
50
100
SPS-IGBT and EXT-IGBT inverter load current vs time
t(s)

0 0.5 1 1.5 2 2.5 3
-
-500
0
500
1000
SPS-IGBT and EXT-IGBT inverter load voltage vs time
t(s)
Vch(V)
EXT-IGBT
SPS-IGBT
EXT-IGBT
SPS-IGBT

Ich(A)
Chapitre II- Modlisation et simulation
98

Fig.II-31 Evolution du courant et de la tension dans la charge RL de londuleur, en mode
satur, avec le modle tendu de lIGBT en trait continu et IGBT_SPS modle en trait
discontinu
II.3.4 Diode de puissance

La diode est llment considr le plus simple des composants actifs, tant par sa structure,
que par sa facilit de contrle bnficiant dune commutation naturelle. Cependant elle reste
le sige de mcanismes complexes qui posent la plupart des problmes physiques et les
problmes de caractrisation. En particulier en lectronique de puissance, la diode anti-
parallle joue un rle important dans les transferts nergtiques lors des commutations. Ces
diodes sont principalement de type PIN. Celle-ci possde les meilleures performances en
pouvoir de coupure et de rapidit. Par consquent ce type reste le plus reprsentatif des diodes
en lectronique de puissance.
La structure PIN est ralise par un empilement dune couche P
+
trs dope, une zone
intrinsque I (N
-
) peu dope et une couche N
+
trs dope Fig.II-32-a.
La rgion I sera donc soit P peu dope et on aura une diode de type P
+
P
+
, soit N peu dope et
on aura une diode de type P
+
P
+
. La rsistivit de cette zone est trs leve, on pourrait
sattendre ce que cette rsistance provoque une chute prohibitive de tension en directe. Or
cette rgion travaille dans un rgime de forte injection, elle reoit des lectrons de la rgion
N
+
, des trous de la rgion P
+
, sa rsistivit se trouve considrablement rduite. Toutefois, la
chute de tension en direct est suprieure la chute de tension dune diode PN, elle est
approximativement de lordre dun volt.


0 0.5 1 1.5 2 2.5 3
-100
-50
0
50
100
SPS-IGBT and EXT-IGBT inverter load current vs time
t(s)

0 0.5 1 1.5 2 2.5 3
-1000
-500
0
500
1000
SPS-IGBT and EXT-IGBT inverter load voltage vs time
t(s)
Ext-IGBT
SPS-IGBT
Ext-IGBT
SPS-IGBT
Vch(V)
Ich(A)
Chapitre II- Modlisation et simulation
99


La Fig.II-32-b reprsente la distribution des charges en rgime de conduction. Le creux
central est li la recombinaison dans la zone I, lhypothse dune distribution symtrique
impose lgalit entre les lectrons n et les trous p quelque soit la distance x.
II.3.4.1 Phnomne li louverture et la fermeture dune diode de puissance

Louverture de la diode est le mode transitoire le plus perturbant des circuits en commutation
o la perte maximale dnergie risque de rendre le dispositif inoprant. En effet les courants
crtes du recouvrement inverse peuvent endommager compltement le circuit ou les
interrupteurs commandables associs [MA 93].
Quand la fermeture de celle-ci, elle est caractrise par lapparition dune tension transitoire
au dbut de lamorage. Ce phnomne peut rendre linterrupteur, associ la diode, passant
dans le cas des diodes dantisaturation, mais ce cas est trs rare.
II.3.4.2 Commutation louverture

Courant de recouvrement inverse I
RRM

La diode de puissance de structure PIN, ltat passant, voit la rsistivit de sa zone centrale
se moduler par une forte injection simultane de charges mobiles positives et ngatives.
Lapplication dune tension inverse aux bornes de celle-ci en conduction nentrane pas un
blocage instantan de la diode [LIAN 90]. Une diode relle ne se bloque que si le courant
inverse passe par une valeur maximale I
RRM
Fig. II-33. Ce phnomne est li la prsence
dune quantit Q
s
de charge emmagasines dans la diode durant la conduction : Q
s
= .I
F
( :
dure de vie des porteurs minoritaires, I
F
: courant nominal appliqu).
La disparition de ces charges stockes se ralise de deux manires : la recombinaison sur
place et lextraction par un courant inverse. Au cours de la commutation une partie de ces
charges disparat spontanment par recombinaison lintrieur de la jonction, lautre partie
Fig.II-32 Structure P
+
P
+
diode
(a)
(b)
Chapitre II- Modlisation et simulation
100
appele charge recouvre Q
r
doit tre vacue par le courant inverse. Q
r
sapproche alors du
courant de Q
s
dautant plus que la vitesse dvolution dI
F
/dt de I
F
(t) est plus grande en valeur
absolue. La valeur de I
RRM
est une fonction croissante de Qr et de dI
F
/dt est la vitesse de
commutation du courant impose par les inductances extrieures (inductances parasites).
Tension au blocage V
RM

La tension inverse passe par une composante maximale V
RM
avant datteindre sa valeur
nominale V
R
Fig.II-33. En effet, la diode impose la vitesse de remonte du courant inverse
dI
R
/dt, une surtension V = L.dI
R
/dt prend naissance dans les inductances parasites qui
sajoutent VR (V
RM
= V
R
+ V, o V= L.dI
R
/dt).


Fig.II-33 Formes dondes louverture en fonction du temps
II.3.4.3 Commutation la fermeture

Tension apparente lamorage de la diode

La fermeture dune diode PIN de puissance ne prsente pas de complexit comme dans le cas
de son ouverture. La tension apparente nest pas dterminante pour les applications en
=t
RRM
Chapitre II- Modlisation et simulation
101
lectronique de puissance, toutefois elle peut causer lamorage non dsir dun interrupteur
en commutation.
Durant la fermeture, un phnomne transitoire se produit quand on applique une diode,
initialement bloque, une rampe de courant de pente dI
F
/dt constante. La tension directe au
borne de la diode passe par une valeur transitoire V
FP
, puis dcrot vers la valeur de la tension
de seuil V
f
Fig.II-34.

Fig.II-34 Evolution du rgime transitoire la fermeture dune diode

La valeur de V
FP
peut atteindre plusieurs dizaines de volts pour des vitesses de commutation
de I
F
(t) plus grande. Elle est essentiellement due au comportement rsistif de la zone centrale
de la jonction. Sa rsistance est initialement leve ( ltat bloqu : tat quasiment neutre
lectriquement) puis diminue rapidement avec linjection, par le courant direct, des porteurs
minoritaires [SZE 81]. Pour de trs grandes vitesses dI
F
/dt, les proprits inductives du cristal
et des connexions participent aussi la surtension de fermeture. Les porteurs minoritaires
injects dans la zone centrale, qui rgissent la conduction, introduisent un aspect capacitif
participant lui aussi la surtension.
Pour valuer les pertes dnergie en commutation la fermeture de la diode, on se place dans
le cas le plus dfavorable dune monte rapide de courant Fig.II-35. Le temps de
recouvrement t
FR
(la dure qui scoule entre lapplication de la tension ou du courant
dattaque et le passage de V
D
(t) sa valeur de seuil (V
f
). On simplifie lvolution de i
D
(t) et de
v
D
(t) entre les instants t
F
et t
F
+t
FR
en admettant que ces grandeurs sexpriment :
( )
F D
I t i = (Eq II.17)
( ) ( )
F
FR
F FP
FP D
t t
t
V V
V t v

= . (Eq II.18)

Chapitre II- Modlisation et simulation
102














Fig.II-35 Evolutions simplifies du courant et de la tension la mise en conduction

Lnergie dissipe dans la diode au cours de la transition est :
( )
FR F F FP D
t t
t
D
t I V V dt i v W
FR F
F
. .
2
1
. . + = =
}
+
(Eq II.19)
Si la fermeture tait idale, lnergie dissipe serait :
FR F F F
t t
t
F i
t I V dt I V W
FR F
F
. . . . = =
}
+
(Eq II.20)
La perte dnergie due la commutation est exprime par :
( )
FR F F FP i
t I V V W W W . .
2
1
= = A (Eq II.21)
Lnergie maximale dissipe (W) est trs faible comparativement celle dissipe en
conduction. C'est pourquoi le comportement la fermeture dune diode na pas deffet
prjudiciable sur le composant.
II.3.4.4 Formes dondes dune diode de puissance

Ouverture de la diode

Le montage de base, driv du hacheur, pour ltude des commutations est reprsent par la
figure II-36.
t
F
t

I
f

i
D =
I
f
t
FR
V
FP
V
F
t
F
t
F
+ t
FR
V
D
V
Di
V
D
t

0

Chapitre II- Modlisation et simulation
103

Fig.II-36 Schma du montage dtude des commutations

Les formes donde du courant et de la tension dans la diode sont reprsentes sur la Fig.II-33.
A louverture de la diode, il y a des charges au voisinage des frontires PI et IN. La tension
aux bornes de la diode reste faible et le courant diminue entranant la charge stocke
dcrotre par limination directe. Puis le courant sinverse, le mcanisme dextraction devient
important cette fois-ci, le courant de recouvrement vacue les charges Q
R
(= Qrr). A linstant
t
RRM
, le courant de recouvrement passe par son maximum I
RRM
, la pente
dt
dI
f
du courant
sannule et la tension aux bornes de la diode est gale V
R
. Aprs la diode impose la vitesse
de remonte
dt
dI
R
, une surtension
dt
dI
L V
R
C
. = A qui prend naissance dans linductance L
C

sajoute V
R
. La diode est soumise alors une tension inverse V
RM
= V
R
+ V. Ce maximum
aura lieu pour 0 =
dt
dV
D
(correspond encore 0
2
2
=
dt
I d
R
), la pente
dt
dI
R
sera donc la racine de
surtensions pouvant dpasser plusieurs fois V
R
. Pour une allure symtrique du courant de
recouvrement,
dt
dI
R
=
dt
dI
f
=
C
R
L
V
apparat une tension V
RM
=2V
R
.
L
C
: reprsente ici la somme des inductances de fuite de cblage, de connexion, etc. qui sont
non ngligeable.
Le temps coul pour retrouver le pouvoir de blocage est trr (reverse recovery time),
caractristique essentielle de la rapidit.
Les relations empiriques (1) et (2) sont souvent utilises pour dterminer trr et I
RRM
:
dt dI
Q
t
f
rr
rr
/
. 3
= (Eq II.22)
Chapitre II- Modlisation et simulation
104
( ) dt dI Q I
f rr RRM
/ .
3
4
= (Eq II.23)
Selon lallure de remonte du courant de recouvrement on distinguera deux types de diodes
Fig.II.37, diode snap off (remonte brutale), diode soft (remonte progressive).
Le recouvrement snap off est accompagn dune surtension aigu (cas des diodes de structure
PP), par contre le recouvrement soft se manifeste par une surtension faible (diode de
structure PP) [TAN 99].

Fig.II-37 Comportement en recouvrement Soft et Snap off.

Fermeture de la diode

Les formes dondes correspondantes sont donnes par la Fig.II-34. La surtension aux bornes
de la diode est dautant plus marque que la vitesse de commutation dI
F
/dt du courant direct
est leve. Cela est d essentiellement au fait que la rsistance apparente de la rgion centrale
(large et peu dope) est initialement trs leve.
II.3.4.5 Modles de diodes

Une tude bibliographique, sur la modlisation des diodes de puissance montre que plusieurs
types de modles ont t dvelopps depuis ceux bass sur la notion de schma lectrique
quivalent tel que le modle de Spice jusquau modles analytiques qui utilisent la rsolution
Recouvrement Soft
Recouvrement Snap off
Chapitre II- Modlisation et simulation
105
des quations des semi-conducteurs en passant par des intermdiaires qui sont les macro
modles ou les modles empiriques.
Les macro modles empiriques reproduisent le comportement externe du composant sans
considrer ni sa nature gomtrique ni les processus physiques internes. Ce comportement est
souvent modlis par des quations empiriques approximes partir des donnes, des tables
ou des sous circuits des composants de base de la bibliothque afin dmuler les rsultats
exprimentaux. Ces modles font des hypothses simplificatrices ayant lavantage de les
rendre moins coteux.
Un modle empirique a t dvelopp par Bertha [BERT 93]. Le modle propos est celui de
la diode de puissance de Spice qui a t modifi afin de rduire le nombre de composante
idale dans le modle.
On distingue aussi, les modles analytiques et numriques qui sont plus prcis et trs relis
la structure physique du composant.
Les modles numriques utilisent un ensemble dquations diffrentielles partielles dont la
rsolution est base sur les lments finis ou les mthodes de diffrences finis. Ces quations
dcrivent les phnomnes physiques au sein du semi-conducteur notamment les composantes
de diffusion et de drive des porteurs, la gnration des porteurs, les effets de recombinaison
et la relation entre les charges despace et le champ lectrique.
Ces modles numriques conviennent le mieux aux fabricants cherchant valuer les
performances de leurs composants dans une application de puissance.
Le modle labor par Goebel [GOEB 92] utilise les modles physiques tel que les effets
thermiques en statique et dynamique, la dure de vie des porteurs, la description du profil du
dopage.
Les modles analytiques reposent sur un ensemble de fonctions mathmatiques dcrivant les
caractristiques de sortie du composant, par exemple, le modles standard de diode
implment dans Spice [LIAN 90]. Comme pour les modles numriques, la limitation des
modles mathmatiques en terme de prcision est due aux paramtres dentres et non pas au
modle lui-mme.
Le modle de la diode implment dans PACTE [MORE 94], qui est bas sur la mthode des
graphes de liens, est un modle lectrique analytique bas sur les proprits physiques des
dispositifs semi-conducteurs qui reproduit fidlement les commutations. Il prend en compte la
dure de vie ambipolaire, pour prsenter la forte injection, ainsi que dautres paramtres
technologiques notamment le dopage de la zone centrale. Ce modle exploite le changement
dynamique de modles, relis aux rseaux de Ptri et prsente un temps faible de simulation.
Chapitre II- Modlisation et simulation
106
En outre, il existe un large ventail de simulateurs commerciaux tel que Spice et Saber
adapts ce type de modlisation [LIAN 90]. Le modle de la diode Spice est souvent utilis
comme modle de rfrence pour la validation dautres modles [MA 90].
Les diffrents modles labors durant la dernire dcennie sont rsums dans la table I en
Annexe 2. Elle dcrit le domaine dapplication (le type de diode, les effets considrs :
transitoire thermique, le simulateur utilis et le nombre de paramtres). Nous constatons
que le dveloppement de modle de diodes de puissance a commenc en 1991. A partir de
1993, tout lintrt est port au modle analytique, afin dy intgrer les effets physiques dans
les redresseurs de puissance. Cependant, aprs 1994, le nombre des travaux publis sur le
redressement en puissance a chut raison de un/an. Toutefois cette rduction en nombre de
publication nindique pas la maturit des modles de diodes. En effets plusieurs problmes en
rapport avec les dpassements des grandeurs lectriques qui sont troitement lis
lchauffement du composant, et qui rsulte en une dviation des caractristiques du
composant en mode de fonctionnement normal, ne sont pas pris en compte.
II.3.5 Modlisation comportementale de la diode

Dans cette partie, nous allons laborer un modle de type circuit de la diode de puissance. La
dmarche consiste transcrire les phnomnes observs durant les phases de commutation au
sein de la diode, dcrits auparavant, en lments lectriques. Les paramtres de notre modle
sont ensuite dduits des notices du constructeur.
Ce modle de la diode, issu de Sim Power System, implmente un modle de diode semi-
conducteur de puissance qui est contrl par ses propres grandeurs physiques tension Vak et
courant Iak. Quand la diode est polarise en directe (Vak>0), elle commence conduire avec
une faible tension directe V
F
ses bornes. Elle se bloque et son courant sannule.
Lorsquelle est polaris en inverse (Vak<0), la diode reste ltat bloqu.
La diode est simule par une rsistance, une inductance et une source de tension continue en
srie avec un interrupteur idal [PSB 94]. Ce dernier est contrl par la tension Vak, Fig.II-38.

Chapitre II- Modlisation et simulation
107


Fig.II-38 Prsentation du modle de la diode de Sim Power System de Matlab
La caractristique statique V-I du modle est montre sur la Fig.II-39.


Fig.II-39 Caractristique statique VI de la diode_ SPS
II.3.5.1 Description du modle labor de la diode

De ltude prcdente, il en dcoule que le recouvrement inverse est un phnomne
dterminant pour les applications en lectronique de puissance mais qui nest pas pris en
compte par le modle de diode issu de la bibliothque SPS Fig. II-40-a.
Le modle de diode de SPS a t repris pour tendre le comportement de la diode la phase
douverture. La figure II-40-b montre le modle modifi de la diode_SPS. Il est dcompos de
faon modulaire sur la figure II-41.
Chapitre II- Modlisation et simulation
108



SW
Vf
Iak
Ron
Vak
A K
Diode Logic
Lon
(a)




SW
Vf
Ic
(a)
Diode Logic
Vce
A
K
Irm
Cj
Rj
(b)
Lon Ron
GND (b)


Fig.II-40 Schma de principe : (a) modle de la diode_SPS, (b) modle tendu de la diode



Fig.II-41 Schma modulaire du modle de la diode tendue

Pour la mise en uvre de cette extension du modle, on procde une linarisation par
morceaux. En effet, le modle labor est compos de deux sources de courant en parallle.
La condition de commutation entre ces deux modles de sources de courant est valide
lorsque le courant dans la diode i
D
atteint la valeur Irm.
Le comportement de la diode, lors dun cycle de fonctionnement, est modlis par deux
phases :
Une premire phase correspond la conduction et le stockage qui se traduit par :

Iak > OU

Vak > Vf ET Irm/100 < Iak < Irm/100 ;


Partie
operatio
nelle
Calcul de
Ron &Vf
Logique
de
conduction
Calcul du
courant
R, C
circuit
C
o
m
p
a
r
a
t
e
u
r

Vd
Id
etat
(b)
C
o
n
d
.

I
n
i
t
i
a
l
e

I
c
0

(a)
Chapitre II- Modlisation et simulation
109
Alors la sortie stat du bloc logique de conduction est activ, (stat=1). Lutilisation du bloc
comparateur en fourchette ou hystrsis Irm/100 < Iak < Irm/100 permet de dtecter le
passage par zro pour quil ny ait pas de commutations oscillatoires ( chattering).
Pour Irm < Iak <- Irm/100, stat est maintenu 1 grce la bascule RS. Il sagit dun tat
de mmorisation. En effet, Set1=reset=0.
La seconde phase est accomplie lorsque le courant Iak atteind Irm, nous commutons alors
sur le second modle dcrit par une deuxime source de courant stat1 prend la valeur 1
lorsque stat qui est son complment, passe zro. Au passage de stat de ltat 1 0
(chelon), le courant intgr sera modul par la rponse dune fonction de transfert du
premier ordre lchelon, Equation.1, traduisant la phase de dstockage caractrise par une
remonte du courant la valeur 0.
1 ) (
1
) (
+
=
s ts trr
s f (Eq II.24)
avec :
ts : le temps de stockage ;
trr :le temps de stockage + temps de dstockage.
Les amliorations apportes modle de la diode_SPS, pour la partie continue ainsi que le
bloc logique de conduction, sont montres respectivement sur les figures II-42, II-43.

Chapitre II- Modlisation et simulation
110
1
i
I
a
k
V
a
k
s
t
a
t
s
t
a
t
1
l
o
g
i
q
u
e

d
e

c
o
n
d
u
c
t
i
o
n
0
*
I
C
V
f
_
d
1
(
t
r
r
-
t
s
)
s
+
1
T
r
a
n
s
f
e
r

F
c
n
2
S
w
i
t
c
h
4
S
w
i
t
c
h
1
R
o
n
_
d
P
r
o
d
u
c
t
1
.
/
L
o
n
_
d
1s
x
o
[
I
C
]
I
C
0
C
o
n
s
t
1
1v
I
n
d
u
c
t
o
r

c
u
r
r
e
n
t
F
i
g
.
I
I
-
4
2

S
c
h

m
a

d
e

s
i
m
u
l
a
t
i
o
n

d
u

m
o
d

l
e

d
e

l
a

d
i
o
d
e


Chapitre II- Modlisation et simulation
111

b
a
s
c
u
l
e

R
S
2
s
t
a
t
1
1
s
t
a
t
O
R
N
O
T
A
N
D
O
R
A
N
D
>
=
> >< <
s
e
t
1
r
e
s
e
t
s
o
r
t
i
e
S
u
b
s
y
s
t
e
m
2
(
b
o
o
l
e
a
n
)
(
b
o
o
l
e
a
n
)
(
b
o
o
l
e
a
n
)
(
b
o
o
l
e
a
n
)
(
b
o
o
l
e
a
n
)
(
b
o
o
l
e
a
n
)
I
n
1
O
u
t
1
B
r
e
a
k

A
l
g
.

L
o
o
p
1
-
i
r
m
-
C
-
-
C
- V
f
-
C
-
2
V
a
k
1
I
a
k
i
a
k
i
a
k
C
u
r
r
e
n
t

=

0
C
u
r
r
e
n
t

>

0
V
o
l
t
a
g
e

>

0
v
a
k
F
i
g
.
I
I
-
4
3

S
c
h

m
a

d
e

s
i
m
u
l
a
t
i
o
n

d
u

b
l
o
c

l
o
g
i
q
u
e

d
e

c
o
n
d
u
c
t
i
o
n

d
u

m
o
d

l
e

d
e

l
a

d
i
o
d
e


Chapitre II- Modlisation et simulation
112
II.3.5.2 Rsultat de simulation

La figure II-44 montre lallure du courant dans la diode. Durant la conduction la charge
stocke est stabilise. Au moment de louverture, la diode exhibe un courant de recouvrement
inverse. Ce courant est maintenu tant que la valeur Irm nest pas atteinte. Au del de cette
limite la diode commence construire une barrire de potentielle. Ainsi le courant dans la
diode tend vers la valeur zro.
8.999 8.9995 9 9.0005 9.001 9.0015 9.002 9.0025 9.003 9.0035 9.004
x 10
-3
-300
-250
-200
-150
-100
-50
0
t(s)
Current (dashed) and voltage (cont.) diode reverse recovery vs time
0 1 2
I
d
(
A
)
,
V
d
(
V
)


Fig.II-44 Courant et tension de recouvrement inverse du modle tendue de la diode

Une autre issue de la fiabilit considrer dans le cas des composants de puissance est la
modlisation thermique. Elle permet destimer par la simulation les risques de dfaillance
dun composant, soumis des conditions svres de fonctionnement, et la sret de
fonctionnement du systme de puissance complet.
II.3.6 Modlisation thermique

Dans de nombreuses applications (automobile, spatiales) la dissipation de puissance ltat
passant est prdominante [DORK 96]. La fiabilit des convertisseurs de puissance embarqus
ncessite que la temprature de fonctionnement des dispositifs semi-conducteur soit
contrle pendant le fonctionnement du convertisseur. Ainsi lvaluation de la temprature du
dispositif est lie lvaluation des pertes du dispositif. Celle-ci sobtient par le produit de la
chute de tension avec le courant.
Chapitre II- Modlisation et simulation
113
Le comportement lectrique des composants lectroniques, et en particulier des composants
de puissance, est fortement influenc par les phnomnes thermiques mis en jeu. La
connaissance de ces phnomnes peut se rvler vitale pour le composant.
En particulier dans l IGBT, lauto chauffement de la jonction sous courant important joue
un rle capital dans lapparition du phnomne davalanche.
II.3.6.1 Influence de la temprature : tat de lart
II.3.6.1.1 Effet de la temprature constante

LIGBT, comme tout composant semi-conducteur de puissance est sensible aux effets de la
temprature. Ainsi toute variation de la temprature affecte les proprits physiques du
silicium. La plupart des paramtres physiques des semi conducteurs sont dpendants de la
temprature ce qui en complique la modlisation [HEFN 94].
Cependant dans le cas de l IGBT, seuls quelques paramtres dpendant de la temprature
sont considrer. En fait, la temprature a un impact direct sur la bande interdite E
g
, sur la
concentration intrinsque n
i
, les constantes de diffusion D
n
et D
p
ainsi que la mobilit
n
et
p
respectivement des lectrons et des trous.
La modlisation thermique a suscit un grand nombre de travaux. Les effets de la temprature
sont considrs dans les modles dvelopps par [CLEM 93] [METZ 94] en maintenant la
temprature de jonction du composant un certain niveau lors de la simulation.
Des modles dpendants de la temprature sont utiliss pour tester la fiabilit des
tempratures leves. Il a t montr en [CLEM 93_1] et [SHEN 00_1] que la variation de la
temprature de jonction au cours dun cycle de commutation normal est infrieure 2
Kelvins.
Influence sur les caractristiques statiques Ic(Vce)

Une des caractristiques importantes des IGBT est son bon fonctionnement en conduction
directe, lorsquil est soumis des tempratures leves. Il doit prsenter une bonne tenue aux
surcharges. Cette caractristique qui est une mesure de la robustesse du composant est trs
importante dans les convertisseurs puisquelle dtermine la dure de tenue de la surcharge du
court circuit avant la destruction dun ou de plusieurs composant du systme de puissance.
Cependant, une diffrence significative de la dpendance de temprature entre les IGBT NPT
et PT est constate. Dans le cas de lIGBT NPT, nous remarquons que le composant prsente
un coefficient de temprature positif la fois pour les faibles et pour les forts niveaux de
courant Fig.II-45.
Chapitre II- Modlisation et simulation
114
Ainsi quelque soit le niveau de courant, la chute de tension ltat passant augmente toujours.
Ce phnomne peut sexpliquer par le fait que, la valeur de la dure de vie des porteurs de
lIGBT NPT tant dj trs leve, une augmentation de la temprature influe peu sur cette
dure de vie, mais beaucoup plus sur la mobilit des porteurs qui se dgrade. Par consquent,
la valeur de la chute de tension ltat passant augmente.

Fig.II-45 Effet de la temprature sur les caractristiques Ic(Vce) en mode de conduction
directe dans le cas dIGBT NPT

Cette catgorie de composant prsente donc un certain inconvnient pour des applications
haute temprature. Du fait de laugmentation de la chute de tension ltat passant, les pertes
en conduction vont crotre.
A loppos de lIGBT NPT, lIGBT PT prsente un coefficient de temprature qui dpend
fortement du niveau de courant, comme le montre la Fig.II-46.

Fig.II-46 Effet de la temprature sur les caractristiques Ic(Vce) en mode de conduction
directe dans le cas de lIGBT PT

En effet, pour les faibles niveaux de courant, le coefficient de temprature est ngatif, ce qui a
pour consquence de rduire la valeur de la chute de tension ltat passant lorsque la
25C
200C
25C
200C
Chapitre II- Modlisation et simulation
115
temprature augmente. Ainsi, les pertes en conductions sont rduites. Ceci sexplique par le
fait que la valeur de la dure de vie des porteurs de lIGBT est faible et trs sensible la
temprature. Lorsque la temprature croit, la dure de vie augmente aussi, ce qui a un impact
plus important sur le fonctionnement du composant que sur la dgradation de la mobilit des
porteurs.
Pour un certain niveau de courant, nous constatons que les courbes se croisent. A ce niveau
prcis, le coefficient de la temprature est nul. Puis, il devient positif, et on retrouve alors le
mme cas que pour le transistor NPT.
Ce type de rsultat, illustr par la Fig.II-47, avait t observ sur les premiers IGBT [BALI
85]. Nous voyons aussi que suivant le niveau de courant le coefficient de temprature est soit
ngatif soit positif. Notons aussi que pour un courant de 7A (cas particulier de ce composant),
le composant tudi est quasiment insensible la temprature.

Fig.II-47 Effet de la temprature sur la chute de tension ltat passant pour diffrentes
valeurs du courant collecteur

Influence sur la tension de seuil

LIGBT, du cot grille, se comporte comme un transistor MOSFET et prsente des
caractristiques de transfert assez semblables. La Fig.II-48 illustrant la variation de la tension
de seuil avec la temprature, pour une tension collecteur-metteur voisine de 5v, montre que
cette valeur diminue lorsque la temprature croit.
Chapitre II- Modlisation et simulation
116

Fig.II-48 Effet de la temprature sur la tension de seuil

Cette dpendance de la temprature est explique par Sze [SZE 81] Baliga [BALI 95]. Ils
montrent que la tension de seuil est exprime en fonction des charges fixes doxyde.
II.3.6.1.2 Effet lectrothermique dynamique

Dans les applications de puissance mettant en jeu des composants de puissance, afin
doptimiser le rendement et la dure de vie des quipements, il est essentiel que lnergie
dissipe dans le composant soit la plus faible possible.
Ainsi pour rduire les pertes en commutation et augmenter les frquences de fonctionnement,
les concepteurs ont fait appel des mthodes de commutation douce [KAZI 95], commutation
zro de tension ou zro voltage switching (ZVS) [TRIV 96], et commutation zro de
courant ou zero current switching (ZCS) [LEFE 94].
Nanmoins dans de grand nombre dapplications, les IGBT sont encore utiliss en
"commutation dure". De plus, les circuits de "commutation dure" sont intressants pour
caractriser et optimiser l IGBT. En effet ils permettent de soumettre le transistor des
contraintes importantes (fort courant, forte tension), et il est ainsi possible dvaluer les
performances du composant et de dfinir son aire de scurit.
Lanalyse du comportement thermique en commutation montre que les IGBT PT et NPT sont
trs peu sensible la temprature lors de la phase de fermeture. Les formes dondes du
courant et de tension, en commutation force, la fermeture sont montres sur la Fig.II-49,
illustrant linfluence de la temprature pour un pas de 50C sur un IGBT PT.
Nous constatons que la temprature ninfluence que trs peu les caractristiques lectriques
pendant la phase de fermeture. Du fait que la dure de la commutation du transistor MOSFET
est quasiment indpendante de la temprature, celle de lIGBT lest aussi. Par consquent, le
Chapitre II- Modlisation et simulation
117
taux de croissance du courant collecteur et le taux de dcroissance de la tension collecteur
metteur ne sont pratiquement pas affects.


Fig.II-49 Effet de la temprature sur les grandeurs lectriques pendant la phase de fermeture

Toutefois les deux structures prsentent des comportements trs diffrents lors de la phase
douverture. Tandis que la structure PT est fortement perturbe par llvation de la
temprature, la structure NPT ne lest pratiquement pas. La trane en courant augmente
considrablement avec la temprature dans la structure PT.
Les Fig.II-50 (a) et (b) mettent en vidence la dpendance la temprature du courant et de la
tension pendant la phase douverture. Durant cette phase, laugmentation du gain en courant
du transistor bipolaire et de la dure de vie des porteurs minoritaires sont responsables de
laccroissement de la trane en courant et du retard gnr la commutation.
De ce fait, la charge stocke dans la rgion de conduction est plus grande et les porteurs
mettent plus de temps se recombiner. Le retard la commutation augmente sensiblement
avec la temprature de 0,2 s pour 25C 0,6 s pour 125C. Par consquent, le temps de
monte de la tension collecteur-metteur augmente avec la temprature.
Chapitre II- Modlisation et simulation
118
Nous constatons que la temprature augmente considrablement les pertes, et que ces
dernires sont beaucoup plus importantes que celles gnres lors de la phase de fermeture.
Ellasser et al. [ELAS 96] remarque que les pertes 125C sont deux fois plus importantes
qu 25C.


Fig.II-50 Effet de la temprature sur les grandeurs lectriques pendant la phase douverture

Lvolution du temps de descente du courant du collecteur avec laugmentation de la
temprature est quasi linaire. Ce qui permet de voir une fois de plus que lIGBT peut tre
considrablement pnalis par la croissance de la temprature de jonction.
Finalement, IGBT PT nest pas aussi stable que le NPT. Les pertes louverture augmentent
significativement haute temprature, tandis que celles du NPT restent inchanges. Ainsi
lemballement thermique survient faible temprature de jonction pour les IGBT PT.
Chapitre II- Modlisation et simulation
119
II.3.6.1.3 Court circuit

En Court-circuit (C.C.), l IGBT opre dans la zone de saturation Fig.II-24. Le courant de
C.C. est limit, par la caractristique de saturation du canal MOS, la valeur du courant de
saturation de l IGBT.
La temprature de jonction de lIGBT augmente rapidement cause de la perte de puissance
massive gnre. La rduction de la mobilit des porteurs dans le canal haute temprature
engendre une dcroissance du courant de saturation de lIGBT. A mesure que la dure de
court circuit augmente la temprature de jonction de lIGBT augmente et la dfaillance de
lIGBT survient ventuellement. La tenue au court-circuit de lIGBT est une caractristique
importante.
Le phnomne le plus intressant est la dcroissance du courant de C.C aprs quelques
microsecondes (2 s). Toutefois, plus le courant de saturation est faible plus le courant de
court circuit engendre un pouvoir de tenue au court circuit de l IGBT important.
Dans la littrature, plusieurs modles d IGBT prennent en compte le phnomne de court
circuit. Cependant les analyses sur les mcanismes de dfaillance de lIGBT dans les
conditions de court circuit restent insuffisantes [HAGI 96] [SABE 96]. Le latch-up du
thyristor parasite est lune des causes, bien que le mcanisme exact de dfaillance nait pas t
lucid. En effet lorsque la temprature augmente, la tension de jonction N
+
(metteur) et le
puit P dcrot (elle disparat 650 kelvin) et le composant est prdispos au latch up.
II.3.6.2 Pertes dans le composant

Bien que les transistors de puissance fonctionnent la plupart du temps en commutation, leur
fonctionnement en mode statique ne doit pas tre nglig. Cela permet de mieux apprhender
le comportement du transistor.
II.3.6.2.1 Perte ltat passant

A ltat passant de basse impdance, la chute de tension leur borne nest pas nulle alors que
la densit de courant dans le dispositif est importante. Cette nergie dissipe engendre une
lvation de temprature au sein de la structure, qui perturbe le comportement du transistor.
Ainsi, le silicium, matriau de base de l IGBT, voit ses caractristiques physiques fortement
modifies par llvation de la temprature [AZZO 98].
Chapitre II- Modlisation et simulation
120
II.3.6.2.2 Perte ltat bloqu

Ltat bloqu est caractris pour tous les composants semi-conducteurs par un courant de
fuite I
R
qui augmente progressivement avec la tension V
R
applique la jonction bloquante.
Le courant de fuite est gnralement ngligeable la temprature ambiante (27C soit
300K). De mme, la densit de puissance ltat bloqu dfinie par le produit V
R
I
R
reste
ngligeable par rapport la densit de puissance en commutation. Toutefois cette puissance
V
R
I
R
dissipe par le composant en rgime bloqu peut augmenter sous leffet dun
accroissement de la temprature de la jonction bloquante et conduire lemballement
thermique. Cette contrainte incite les fabricants choisir une temprature maximale comprise
entre 125C et 175C dans le souci de limiter le courant de fuite en rgime bloqu.
II.3.6.2.3 Pertes en commutation

Le passage rpt dun tat passant ltat bloqu ou vice versa nest pas instantan et
saccompagne de rgime transitoire sur le courant tabli et sur les tensions extrieures qui lui
sont appliques. Ces rgimes transitoires du courant et de la tension sont fonction de la
dynamique des charges internes aux composants et sont, de ce fait, affects par la temprature
interne du composant. Une augmentation de la temprature interne du composant tend
accrotre sa charge interne et ralentir la dynamique de ces commutations. Ceci se traduit par
une augmentation sensible de puissance lectrique dissipe pendant les commutations. Cette
puissance dissipe vient sajouter aux autres pertes dj inventories.
Elle est dautant plus grande que la tension V et le courant I commuts sont levs et les
temps de commutation la fermeture et louverture sont plus long. Pour les IGBT, cest le
courant de queue (ou de trane) qui engendre la part la plus importante des pertes de
commutation.
II.3.6.2.4 Evaluation de la puissance dissipe par le composant

Avec le dveloppement des circuits intgrs de puissance, lutilisateur est confront des
situations complexes pour inventorier la puissance dissipe par le composant.
Lestimation des pertes de puissance dans le composant savre lun des paramtres pertinent
au fonctionnement fiable du composant semi-conducteur.
En outre, les limites de scurit en commutation sont souvent diffrentes de celles quon peut
prvoir, partir de considrations thermiques simples reposant sur les notions de rsistances
thermiques et de temprature maximale de jonction. Par exemple, les IGBT peuvent tre
Chapitre II- Modlisation et simulation
121
dtruits par un transitoire rptitif de courant ou de tension, alors que la temprature du
silicium reste dans les limites autorises.
La modlisation laide dun simulateur de circuit permet daccder aux formes donde de la
tension v(t) applique aux composants et du courant i(t) qui le traverse. Lutilisateur aura la
possibilit daccder soit la puissance instantane ) ( ). ( ) ( t i t v t p = soit la puissance
moyenne dissipe par le composant pendant un cycle complet de fonctionnement. La
puissance instantane permet danalyser les variations prcises que subit la temprature de
jonction lors dun cycle de commutation.
II.3.6.2.5 Environnement thermique du composant de puissance

Pour la plupart des applications, le composant de puissance est encapsul dans un botier. Ce
dernier confre au composant une rigidit mcanique pour son montage dans un
environnement plus tendu. Il permet dassurer les connexions de la puce avec
lenvironnement extrieur, une protection de la puce vis vis des agents corrosifs et autres, un
transfert de chaleur entre la puce et le radiateur Fig.II-51. Ce botier est ensuite mont sur un
radiateur qui est dune grande utilit vis--vis des problmes thermiques. En effet, il permet
dvacuer la chaleur dissipe par la puce.



Fig.II-51 Assemblage puce radiateur
II.3.6.2.6 Mcanismes de transfert de chaleur

Nous distinguons, essentiellement, trois mcanismes de transfert de chaleur dans le
composant, par diffusion (ou conduction), par convection ou par rayonnement.
La diffusion correspond au mcanisme de transfert direct de la chaleur. Elle est rgie par
lquation suivante (Fourier) :
T V = | (Eq II.25)
Cuivre
Puce
Embase
Radiateur
Cuivre
Soudure
Silicium
Trf
(a) Description gomtrique (vue de dessus) (b) Structure thermique (coupe suivant AA)
Chapitre II- Modlisation et simulation
122
avec | (w.m
-2
) densit de flux de chaleur,
(w.m
-1
.K
-1
) conductivit thermique du milieu,
T V (K
-1
.m-1) gradient de temprature.
Ce mcanisme trouve son importance dans les corps solides caractriss par une conductivit
thermique leve ) . . 400 10 (
1 1
s s k m w
Lquation de diffusion de la chaleur en rgime non stationnaire dans les milieux solide
scrit :
t
T
C p T
p v
c
c
= + V V ) ( (Eq II.26)
avec p
v
(w.m
-3
)

densit volumique de la puissance qui est dissipe localement sous
forme de chaleur,
(kg.m
-3
) masse volumique du matriau,
C
p
(J.kg
-1
.K
-1
) capacit thermique massique du matriau,
t temps.
La convection intervient dans les changes de chaleur entre une surface chaude et un milieu
fluide tel que les liquides ou les gaz. La convection est rgie de manire empirique par
lquation :
T hA = | (Eq II.27)
avec | (w.m
-2
) densit de flux de chaleur,
h(w.m
-2
.K
-1
) coefficient de convection thermique,
(K) cart de temprature entre la surface chaude et la fluide.
Enfin, une portion de la chaleur est transfre par rayonnement dondes lectromagntiques.
A une temprature T uniforme du botier, la densit du flux de la chaleur rayonne vers un
milieu infini totalement enveloppant la temprature T
0
scrit :
| |
4
0 0
4
) ( ) ( T T T T c c o | = (Eq II.28)
avec constante de Boltzmann
(T) et (T
0
) missivit hmisphrique dun lment de surface du radiateur aux
tempratures T et T
0
respectivement.
Nous constatons que les lois de conduction lectrique et thermique ont une mme structure
portant sur des grandeurs diffrentes, ceci permet dtablir une analogie formelle entre les
grandeurs thermiques et les grandeurs lectriques.
Chapitre II- Modlisation et simulation
123
Par ailleurs, durant le transfert de chaleur dans un ensemble puce-boitier radiateur, la chaleur
est principalement dissipe dans la zone active localise dans le silicium. La temprature
atteinte dans cette zone est la temprature de jonction.
La chaleur dissipe diffuse travers les matriaux solides jusqu des surfaces dchange.
Elle svacue alors par convection (naturelle ou force) et par rayonnement. Afin dassurer le
meilleur transfert de chaleur possible, il faut prvoir ds la conception un bon systme de
refroidissement pour le composant utilisant un support (substrat et botier) mtallique
appropri (cuivre ou aluminium) et ayant une forte conductivit thermique. En effet la chaleur
vacue par convection et par radiation, partir des surfaces libres de lassemblage
(composant), est gnralement ngligeable par rapport la chaleur totale dissipe dans les
zones actives.
En consquence, le choix du radiateur et son dimensionnement dterminent directement la
qualit de refroidissement de ces composants en vue dun fonctionnement fiable.
II.3.6.3 Evaluation de la temprature de jonction

Dans le composant de puissance, la temprature nest pas uniforme et lcoulement de la
chaleur est rellement un processus tridimensionnel. En effet, les quations qui gouvernent le
transfert (diffusion, convection) sont dpendantes du gradient de la temprature.
Le calcul de la rpartition de la temprature dans la zone active savre extrmement
complexe car la dissipation de chaleur est elle-mme en interaction avec la temprature.
Cependant, on peut approximer la temprature de jonction comme tant la temprature
moyenne de la zone active.
II.3.6.3.1 Rsistance thermique

Base sur lanalogie formelle entre la conduction thermique et lectrique, la notion de
rsistance thermique est souvent utilise pour le calcul de lchauffement du composant qui
rsulte de sa dissipation de puissance. Pour les composants semi-conducteurs, la rsistance
thermique jonction botier R
thjc
est dfinie par le rapport :
P
T
R
jc
thjc
A
= (Eq II.5)
avec R
thjc
(K.w
-1
) rsistance thermique entre la zone active et le botier,
P(w) puissance dissipe sous forme de chaleur.

Chapitre II- Modlisation et simulation
124
La rsistance thermique est fournie par les fabricants de composant de manire exprimentale
par la mesure des paramtres thermosensibles comme par exemple la chute de tension aux
bornes dune jonction traverse par un faible courant de mesure ou la dtermination de la
tension de seuil dans le cas des IGBTs.
La notion de rsistance thermique est commode pour une valuation de la temprature de
jonction dans le cas de composant de puissance discret en fonction de sa dissipation moyenne
de puissance dans un rgime tabli de fonctionnement [MAXI 98]. Ce concept devient
dfaillant dans le cas dun composant de puissance intgr l o plusieurs zones actives
peuvent coexister. Il parait aussi insuffisant pour apprcier les fluctuations de temprature qui
rsultent dune dissipation de puissance dont la valeur instantane fluctue mme si la valeur
moyenne de cette puissance dissipe reste constante dans le temps. Cependant dans de
nombreux cas, lutilisateur doit pouvoir apprcier les excursions limites de la temprature de
jonction du composant de puissance.
La solution consiste rsoudre lquation de chaleur en rgime transitoire introduite en (Eq.
II-26) moyennant quelques hypothses simplificatrices qui concernent notamment la linarit
dcoulement de la chaleur et lunidimensionnalit.
II.3.6.3.2 Modle thermique pour le transfert de chaleur

La prise en compte de la dynamique de temprature transitoire (auto-chauffement) est
importante pour la simulation du comportement thermique du systme complet lors de la
phase de dmarrage et en rgime permanent, du comportement en court circuit, de la stabilit
en haute frquence et en haute temprature [HEFN 94]. Pour modliser les effets
lectrothermiques dynamiques un sous-circuit thermique est modlis simultanment avec le
circuit lectrique incluant le modle dpendant de la temprature. Linteraction entre les deux
circuits est prise en compte par le calcul de la temprature de jonction du composant partir
du circuit thermique et de la puissance dissipe.
La simulation du comportement lectrique du composant en court-circuit reprsente une
importante application des modles lectrothermiques de lIGBT. La temprature de jonction
transitoire du composant joue un rle critique [HEFN 93].
Dans le cas le plus classique de calcul de la rponse thermique, on considre le composant
mont sur un radiateur comme des lments associs mont en cascade.
En effet, la discrtisation de lquation de la chaleur en rgime transitoire, par la mthode de
la diffrence finie en domaine unidimensionnel, conduit reprsenter le milieu par un
ensemble de cellules RC en cascade (T-modle) [DORK 95] [DEWA 92]. Cette
Chapitre II- Modlisation et simulation
125
reprsentation prend en compte la nature distribue du transfert thermique par conduction
dans les diffrents lments associs.
Il existe un autre modle thermique; le Pi-modle, compos dune srie de cellules RC de type
Pi, Fig.II-52. Ce modle prsente lavantage de faciliter lextraction des paramtres partir
des mesures des courbes de refroidissement du composant de puissance.



R
1
R
2

C
1
C
2
C
3
C
4 C
5
R
3

R
4
R
5

T
1
T
2
T
3
T
4
T
5

R
1
R
2

C
1 C
2
C
3
C
4
C
5
R
3
R
4
R
5

T
1
T
2
T
3
T
4
T
5

Puissance
dissipe

Puissance
dissipe

Augmentation des constantes du temps
(a)

(b)



Fig.II-52 (a) modle thermique type T, (b) modle thermique type Pi

Toutefois, le premier modle thermique de type T, souvent utilis par les simulateurs
numriques tel que PSPICE ou SABER, prsente lavantage de faciliter l'adaptation
diffrentes technologies de radiateur. Mais, la paramtrisation de ce modle doit tre ralise
par approximation des courbes ou des connaissances dtailles concernant les couches
matrielles du composant et leurs squencements.
II.3.6.3.3 Choix du modle thermique

Notre contribution a port, dans un premier temps, sur la mise en uvre dun modle de
cellule de commutation qui tient compte des non linarits des semi-conducteurs et le temps
mort entre les commandes des interrupteurs du mme bras par une extension du modle
Chapitre II- Modlisation et simulation
126
dIGBT_SPS. Dans ce travail, notre objectif ne vise qu' modliser le couplage des
phnomnes lectrothermiques dans le composant de puissance.
Un modle thermique de simulation est appliqu ltude du comportement lectrothermique
de l IGBT dans le systme de puissance non pas dans un but de validation mais dans un but
danalyse notamment en ce qui concerne les limites d'un fonctionnement scuris.
Notre simulateur ne permet pas de grer les phnomnes thermiques. Toutefois, lanalogie
thermique-lectrique permet de contourner cet obstacle en les traitant comme des phnomnes
lectriques.
Pour que le comportement thermique intervienne de manire dynamique sur le comportement
lectrique, une solution consiste remonter au modle lectrique de l IGBT et le coder sous
forme de sous circuit pour le quel la temprature est considre comme paramtre.
La mthode mise en uvre contourne la limitation thermique du simulateur. Ce dernier ne sait
pas faire une analyse temporelle dans laquelle la temprature varie en fonction du temps. Par
contre, il sait calculer un point de fonctionnement une temprature impose. On utilise cette
possibilit en chantillonnant lintervalle de simulation. Ce dernier est alors considr comme
un ensemble discret de points de fonctionnement.
On calcule le point de fonctionnement lectrique (V
n,
I
n
) de lIGBT linstant t
n
pour le circuit
constitu uniquement de modle lectrique une temprature T
n.
V
n
et I
n
sont alors injects
dans le circuit dcrivant le modle thermique de lIGBT afin de calculer la temprature T
n+1

linstant t
n+1.
La temprature linstant t
n+1
est utilise pour calculer le nouveau point de
fonctionnement et lalgorithme est boucl sur lintervalle de temps considr Fig.II-53.
Une reprsentation unidimensionnelle de toutes les couches du systme est utilise pour
modliser un comportement thermique global entre la jonction et le botier. Chaque couche du
composant est reprsente par un modle thermique (diffrence finie) caractris par sa
conductivit thermique, sa capacit spcifique et sa gomtrie (surface et paisseur).
Ce modle thermique propos est implment sous le logiciel Matlab qui est un outil
important. En effet, plusieurs types de systmes peuvent tre dcrits notamment les systmes
lectriques, mcaniques et thermiques.
Ainsi, le modle obtenu permet non seulement destimer les tempratures dans le composant
en fonctionnement normal mais aussi en fonctionnement extrme (surcharge par exemple) de
courte dure. La prise en compte de la conductivit thermique a permis une correction des
tempratures estimes.
Notre contribution porte notamment sur lextension du modle de lIGBT de Matlab
permettant lvaluation des pertes en puissance et la surveillance des grandeurs physiques
Chapitre II- Modlisation et simulation
127
tel que le courant, la tension ainsi que la temprature dans le composant de puissance et
secondairement dans le systme de puissance tout en tenant compte des non linarits des
semi-conducteurs.
Simulation de
la temprature
Paramtres
thermiques
Modle thermique
Calcul des
paramtres
thermosensible
Modle lectrique
Tj(t)
Calcul des
pertes de
commutation
P(t)
Paramtres du
convertisseur


Fig.II-53 Principe de calcul de la temprature de jonction dans le composant de puissance
II.3.6.4 Identification des paramtres thermosensibles

La mesure de la temprature de jonction peut seffectuer par les mthodes directes ou
indirectes. Lide essentielle des mthodes indirectes consiste relever la temprature partir
des effets observs sur certains paramtres thermosensibles (PTS) tel que, dans le cas des
IGBT, la tension de seuil de conduction et le courant de saturation. Le principe de mesure des
PTS consiste faire passer un courant faible dans le composant. La valeur du PTS dpend
directement de ce courant Fig.II-54. Par consquent, le composant doit tre travers par le
mme courant pendant la phase de calibration et la phase de mesure.

Chapitre II- Modlisation et simulation
128


Fig.II-54 Allure de la caractristique Ic=f (Vce) dun IGBT : Identification des paramtres
statiques V
0
et R
0

Les caractristiques statiques de l IGBT, dans sa zone linaire, peuvent tre modlises par
une droite de pente 1/R
0
et dabscisse lorigine V
0
. La chute de tension aux bornes de
lIGBT est exprime dans la zone linaire par [CALM 95] :
c CEsat
I R V V
0 0
+ = (Eq II.29)
V
0
et R
0
sont dpendantes de la temprature.
Les lois de variation de V
0
et R
0
peuvent tre approximes par les relations linaires
suivantes :
j
aT V V + =
00 0
(Eq II.30)
j
bT R R + =
00 0
(Eq II.31)
V
00
reprsente la tension de seuil 0C, R
00
: la rsistance 0C, a et b : les coefficients de
sensibilit la temprature, Tj : la temprature de jonction en C
Les figures II-55 et II-56 reprsentent les variations de V
0
pour deux structures pitaxies
couche tampon (PT) : un IGBT discret IRGPC50U de International Rectifier et une puce
IGBT extraite dun module IGBT Semikron75 123D. La commande V
GS
est fixe proche de la
tension de seuil (V
th
=6V) pour ne pas chauffer le composant. La temprature de l IGBT est
maintenue proche de la temprature de jonction en ngligeant lauto chauffement. Le courant
dans l IGBT est maintenu 5 mA.

I
c
(A)

V
0 V
ce
(v)

1/R
0
Zone linaire
Zone de limitation du courant
(saturation)

Chapitre II- Modlisation et simulation
129
Variation de la tension V
0
en fonction de la temprature

Le composant de puissance ne devient conducteur que pour une tension de seuil suprieure
Vth et une tension de polarisation suprieure la tension V
0
. Nanmoins la variation de la
temprature de fonctionnement due aux pertes par commutation, au courant traversant le
composant ou au milieu ambiant doit tre prise en compte.



En outre, les Fig.II-55 et II-56 montrent que la variation de V
0
en fonction de la temprature
pour la structure pitaxie PT est dcroissante pour une temprature croissante.
Par contre dautres tudes menes sur une puce dIGBT BSM50GB100D technologie
homogne (NPT) montre que la tension V
0
est croissante avec la temprature Fig.II-57.



E
v
o
l
u
t
i
o
n

d
e

V
0

(
v
)

2
25 50
75 100 125 150
Temprature (C)
Fig.II-57 Variation de V
0
dIGBT BSM50GB100D
technologie homogne NPT
1.9
1.8
1.7
1.6
1.5
20 40 60 80 100 120 140
1
0.95
0.9
0.85
0.8
0.75
0.7
0.65
Temprature (C)
Fig.II-56 Variation de V
0
pour un IGBT
discret IRGP 50U
E
v
o
l
u
t
i
o
n

d
e

V
0

(
V
)

160
E
v
o
l
u
t
i
o
n

d
e

V
0

(
V
)

Temprature (C)
20 40 60 80 100 120 140
0.5
0.45
0.4
0.35
0.3
0.25
0.2
0.15
0.1
Fig.II-55 Variation de V
0
de l IGBT (dun
module Semikron 75 GB 123 D)
Chapitre II- Modlisation et simulation
130
Variation de la rsistance R
0
en fonction de la temprature

La variation de la rsistance R
0
est value partir du rseau de caractristiques statiques
Ic=f(Vce) pour les diffrentes tempratures. Ainsi la pente de la zone linaire est calcule
pour chaque temprature Fig.II-58 et Fig.II-59.



Nous constatons que la rsistance R
0
est trs sensible la variation de la temprature. Elle est
croissante avec la temprature. La figure II-60 montre lvolution de la rsistance de l IGBT
Semikron 75GB 123 D en fonction de la temprature.



E
v
o
l
u
t
i
o
n

d
e

R
0

(
O
)

Temprature (C)
20 40 60 80 100 120 140
0.135
0.13
0.125
0.12
0.115
0.11
0.105
0.1
0.095
Fig.II-60 Variation de R
0
de l IGBT (dun
module Semikron 75 GB 123 D)
0 5 10 15
70
60
50
40
30
20
10
0
Chute de tension (V)
Fig.II-59 Caractristiques statiques de l IGBT
Semikron 75123D pour T=125C
C
o
u
r
a
n
t

d
e

s
a
t
u
r
a
t
i
o
n

(
A
)

20
Vgs=15V
Vgs=12V
Vgs=10V
Vgs=8V
0 5 10 15
70
60
50
40
30
20
10
0
Chute de tension (V)
Fig.II-58 Caractristiques statiques de l IGBT
Semikron 75123D pour T=25C
C
o
u
r
a
n
t

d
e

s
a
t
u
r
a
t
i
o
n

(
A
)

20
Vgs=15V
Vgs=12V
Vgs=10V
Vgs=8V
Chapitre II- Modlisation et simulation
131
Variation de la tension de seuil

La tension de seuil Vth est la tension lorigine de la formation du canal dans le MOS. Cette
tension est dpendante de la temprature. Comme le montrent les figures II-61 et II-62, Vth
est dcroissante en fonction de la temprature pour les deux technologies homogne et
pitaxie.
Les mesures sont prleves pour un faible courant traversant l IGBT fix Ic=1mA afin
dviter lauto chauffement et V
GE =
15V.
La fonction Vth est exprime thoriquement par lquation :
ox
B A s
B FB th
C
N q
V V

4
2 + + = (Eq II.32)
avec
q
n
N
kT
i
A
ch
B
ln
0
= (Eq II.33)
avec V
FB
: potentiel de la bande plate.
Cox : capacit de loxyde par unit de surface.
N
A :
concentration des accepteurs.
N
i
: concentration intrinsque du silicium.
+
B
: potentiel de fermi du substrat.

s :
permittivit du silicium.



E
v
o
l
u
t
i
o
n

d
e

V
t
h

(
V
)

20 40 60 80 100 120 140 160
5.6
5.4
5.2
5
4.8
4.6
4.4
4.2
4
Fig.II-61 Variation de la tension de seuil Vth dun
module IGBT Semikron 75 123 D en fonction de la
temprature.
Temprature (C)
E
v
o
l
u
t
i
o
n

d
e

V
t
h

(
V
)

Temprature (C)
20 40 60 80 100 120
4.2
4
3.8
3.4
3.2
3
2.8
2.6
4.4
3.6
Fig.II-62 Variation de la tension de seuil Vth
dun IGBT discret IRG4PC50U en fonction de
la temprature.
140
Chapitre II- Modlisation et simulation
132
Variation du courant de saturation

En court circuit, l IGBT se retrouve avec un courant de court circuit gal au courant de
saturation Isat correspondant la tension de grille utilise. Le courant de saturation est lun
des paramtres les plus dpendants de la temprature. En effet, il apparat dans son expression
(Eq II.34) deux paramtres trs sensibles la temprature la tension de seuil (V
th
) et la
mobilit en surface (
ns
) qui dcroissent en fonction de la temprature. La pente dvolution
de Vth est ngative en fonction de la temprature, ceci daprs le paragraphe prcdent. La
mobilit
ns
dcrot en fonction de la temprature cause des mcanismes de collision entre
lectrons et trous.
) (
2
) 1 (
0
th GS
c
C x ns
PNP sat
V V
L
Z C
I + =

| (Eq II.34)


Les figures II-63 et II-64 montrent lvolution exponentielle du courant de saturation en
fonction de la temprature. Ces courbes seront utiles pour la dduction de la temprature de
jonction.
II.3.6.5 Modle dvaluation de la temprature de jonction

Le dveloppement du modle thermique dynamique partir des impdances thermiques
transitoires des composants semi-conducteurs est trs utile puisquil permet lestimation des
tempratures maximales dans les structures durant leur fonctionnement.
350
Temprature (C)
Fig.II-64 Variation du courant de saturation de
lIGBT (IRGPC50U)

E
v
o
l
u
t
i
o
n

d
e

I
s
a
t

(
m
A
)

20 40 60 80 100 120
300
250
200
150
100
50
0
350
Temprature (C)
Fig.II-63 Variation du courant de saturation de
lIGBT (semikron 75 GB 123 D)
E
v
o
l
u
t
i
o
n

d
e

I
s
a
t

(
m
A
)

20 40 60 80 100 120
300
250
200
150
100
50
0
Chapitre II- Modlisation et simulation
133
De tels modles doivent prendre en considration le packaging incluant la puce de
silicium, les soudures et le botier.
Lestimation de la temprature seffectue partir des paramtres thermosensibles tel que la
chute de tension ltat passant V
ce,
la tension de seuil V
th
et le courant de saturation I
sat
.
La plupart des composants semi-conducteurs discrets IGBTs, diodes, Mosfets sont forms
essentiellement dune couche de silicium, dune couche de soudure et dun botier Fig.II-65.
Pour des courtes dures dimpulsion, limpdance thermique devient sensible au rapport
cyclique de londe de puissance. Il sensuit une variation de temprature dans le silicium.
Dans les composants IGBT en fonctionnement, la temprature nest pas uniforme,
lcoulement de la chaleur est essentiellement un processus tridimensionnel comme le montre
les quations II.25 et II.26. Le maximum de la rpartition de temprature est atteint dans les
zones actives dissipant la puissance.
Cependant, en considrant les structures verticales de puissance, on peut approximer que le
flux de chaleur est unidimensionnel dans la structure. La chaleur circule perpendiculairement
la surface du silicium.
En vue de la conception des systmes lectriques, une prise en compte de lauto chauffement
du semi-conducteur est indispensable. Le modle thermique est reprsent base de cellules
RC (R tant la rsistance thermique et C tant la capacit thermique) obtenues partir dune
discrtisation de lquation de la chaleur. Ces mthodes dcoulent de la mthode des
diffrences finies (M.D.F). La prcision de ces schmas lectriques quivalents dpend de
lordre du systme.
Lorsque le composant est aliment, lnergie lectrique est transforme en chaleur au niveau
de la pastille de silicium par effet Joule. La temprature de la pastille slve. Cette chaleur
est alors transmise au disque et au botier dans un rapport proportionnel la surface et la
qualit du contact thermique considr. A ce niveau, la chaleur est vacue par convection et
suit dans lair ambiant. La chaleur peut tre calcule localement dans six lments modliss
par six capacits thermiques (exprimes en J/K) :
- C1 pour le semi-conducteur (silicium).
- C2 pour la premire soudure.
- C3 pour linterface Mtal/Isolation.
- C4 pour lisolation ALN.
- C5 pour la deuxime soudure.
- C6 pour le cuivre.
Chapitre II- Modlisation et simulation
134
La dissipation thermique entre les diffrentes capacits thermiques et entre celles-ci et le
milieu ambiant est reprsent par des rsistances thermiques (exprims en K/W) :
- R1 pour le semi-conducteur (silicium).
- R2 pour la premire soudure.
- R3 pour linterface Mtal/Isolation.
- R4 pour lisolation ALN.
- R5 pour la deuxime soudure.
- R6 pour le cuivre.
- Radiateur : rsistance du radiateur.
On a ainsi accs six tempratures (exprim en K) des diffrentes couches, Tj et Ta dsignent
respectivement la temprature du silicium et la temprature ambiante.

Fig.II-65 Modle thermique de l IGBT
II.3.6.5.1 Calcul des impdances thermiques

La structure thermique adopt est reprsente sur la figure II-66.

Fig.II-66 Structure thermique de l IGBT



Silicium
1
er
Bonding
Interface Metal/Isolant
Isolation ALN
2
me
Bonding
Cuivre
Puce
Isolateur
Base
W=0.4; K=1.4 ; C
v
=1.7
W=0.053; K=0.35 ; C
v
=1.3
W=0.35; K=3.6 ; C
v
=3.5
W=0.636; K=1 ; C
v
=2.3
W=0.103; K=3.6; C
v
=3.5
W=1.25; K=3.6; C
v
=3.5
P=U.I
R
2
R
3
C
1
C
2
C
3
C
4 C
5
R
4
R
5
T
j

T
2
T
3
T
4
T
5
Radiateur
R
1

C
6
R
6

Silicium 1
er
Bonding
Isolation 2
ieme
Bonding Cuivre
T
6

T
a
Chapitre II- Modlisation et simulation
135
w : paisseur en mm ;
K : conductivit thermique en W/k.cm ;
C
V :
chaleur massique en J/Kcm
3
;
S : surface en mm
2
.
S K
w
R
.
= (Eq II.35)
S C w C
v
. . . = (Eq II.36)
R : rsistance thermique en K/w.
C : capacit thermique en J/K.
S=10mm
2
;
R1=0,28 K/w ; C1=0,68.10
-2
J/K ;
R2=0,15 K/w ; C2=0,68.10
-2
J/K ;
R3=0,097 K/w ; C3=1,22 .10
-2
J/K ;
R4=0,636 K/w ; C4=1,46.10
-2
J/K ;
R5=0,294 K/w ; C5=0,134.10
-2
J/K ;
R6=0,347 K/w ; C5=4,37.10
-2
J/K ;
II.3.6.5.2 Rsultats des simulations thermiques

La figure II-67 montre l'volution du courant dans lIGBT ainsi que la puissance dissipe
totale sur un cycle de commutation. Le modle thermique calcule la temprature instantane
de jonction du composant de puissance Tj.
5 5.1 5.2 5.3 5.4 5.5 5.6
x 10
-4
0
5
10
15
20
25
Ice current vs time
I
c
e
(
A
)
5 5.1 5.2 5.3 5.4 5.5 5.6
x 10
-4
0
500
1000
1500
Power vs time
t(s)
P
d
(
W
)

Fig.II-67 Allure du courant dans lIGBT (en haut), pertes en puissance vs temps
Chapitre II- Modlisation et simulation
136

La figure II-68 montre la rponse en temprature de lIGBT des signaux priodiques carrs
de commande. La temprature oscille une allure exponentielle, avec des minimas et des
maximas respectivement louverture et la fermeture. Au bout dun certains nombres de
priodes, la temprature se stabilise et les pics de temprature deviennent identiques.

0 0.005 0.01 0.015 0.02 0.025
25
30
35
40
45
50
55
60
65
70
75
J unction temperature in EXT-IGBT vs time
t(s)
T
(

c
)

Fig.II-68 Rponse en temprature du modle de lIGBT tendu vs temps
II.4 Simulation

Comme application nous allons tudier le systme de puissance embarqu dans le V.E dans
un mode normal de fonctionnement et dans un mode dfaillant. Le schma fonctionnel
prsent sur la figure II-69 montre la structure gnrale du systme de puissance.

Fig.II-69 Systme machine- convertisseur- commande

MAS
Charge
Mcanique
Onduleur
Commande
Signaux de mesure
i
s1
i
s3 E
E

O
Chapitre II- Modlisation et simulation
137
Nous proposons dans la suite de modliser le convertisseur de puissance afin dtudier le
fonctionnement du systme de puissance embarqu dans en mode normal et dfaillant. Un
modle sans hypothse d'quilibrage des tensions de la machine asynchrone a t utilis (voir
annexe 5).
II.4.1 Modlisation du convertisseur statique de puissance

La modlisation des convertisseurs reprsente un souci constant des lectrotechniciens. Divers
travaux ont t mens dans ce domaine. Nous pouvons distinguer plusieurs approches tendant
reprsenter soit lvolution fine des grandeurs lectriques (les modles de commutation) soit
leur valeurs moyennes (les modles moyens).
Les circuits en lectronique de puissance possdent un nombre important dquations. De
plus, la plage des constantes de temps est relativement tendue pouvant varier de la
nanoseconde plusieurs millisecondes.
La fonction interrupteur prsente linconvnient dintroduire des discontinuits qui impliquent
des temps de simulation important.
Les convertisseurs de puissance ralisent la fonction conversion dnergie . Le modle
moyen conserve cette fonction en faisant abstraction de la fonction interrupteur. Cela a pour
effet de diminuer fortement les temps de simulation. Toutefois cette approche nest applicable
que si les constantes de temps du systme extrieur au convertisseur varient lentement par
rapport la priode de dcoupage. De plus les relations de causalit ne sont pas toujours
aises formuler. Il en est de mme pour la prise en compte des non linarits notamment la
variabilit de certains paramtres en fonction de la temprature.
Dans le cas du modle de commutation, plusieurs niveaux de prcision peuvent tre dfinis.
Au niveau le plus bas, les composants sont considrs comme de simples interrupteurs. Pour
prendre en compte finement les commutations la physique des semi-conducteurs doit tre
considre. Ce type de modle peut permettre dobtenir des estimations fines des pertes en
commutation. Nanmoins, ce type dapproche conduit des contraintes au niveau simulation
(petit pas de calcul) dues aux transitions rapides des grandeurs lectriques.
Par dfinition, le convertisseur statique est un systme permettant dimposer la machine
asynchrone un vecteur de tension dont la frquence et lamplitude sont variables partir dune
source de tension continue.
Londuleur de tension utilis est compos de trois cellules de commutation indpendantes
dont chacune est compose de deux interrupteurs Fig II-70. Chaque interrupteur est compos
dun transistor IGBT et dune diode D monte en parallle. Les deux interrupteurs dun mme
Chapitre II- Modlisation et simulation
138
bras sont commands de faon complmentaire. Ce modle prend en compte les temps morts
ncessaires la dcharge du composant de puissance par contre les temps minimaux de
conduction ncessaires la charge de ce dernier ne sont pas considrs. Il permet aussi de
calculer les pertes dans londuleur. Les pertes en conduction dans les IGBT et les DIODES et
les pertes par commutation.



E

T1 T3
T2 T4
D1
D2 D4
D3
D4
D3
T5
T6 D6
D5
Vs1 Vs3 Vs2

Fig.II-70 Structure dun onduleur de tension
II.4.2 Rsultats de simulation

La figure II-71 montre respectivement du haut vers le bas le signal de commande sur le
transistor T4 (transistor du bas du deuxime bras), l'volution du courant statorique de la
phase 1 qui est limage du flux en rgime permanent et enfin l'volution de la vitesse de
rotation. Au dpart le courant Is1 est maintenu sa valeur nominale. A un instant appel "time
fault", on provoque un dfaut de circuit ouvert (C.O) sur la commande du transistor T4 qui
entrane un transitoire de courant dont la valeur moyenne est ngative.
La figure II-72 montre les rsultats de simulation en rgime permanent et en prsence de
dfaut de circuit ouvert respectivement du haut vers le bas le signal de commande du
transistor T4 ainsi que les courants statoriques dans les trois phases Is1, Is2 et Is3. Un zoom
est ralis, sur la figure II-73, autour de la zone de dfaut.





Chapitre II- Modlisation et simulation
139

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-1
0
1
2
IGBT
4
gate waveform vs time
t(s)
V
g
4
(
V
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
t(s)
i
s
1
(
A
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
500
1000
1500
velocity vs time
t(s)
w
(
r
p
m
)
time fault
Phase 1 stator current vs time

Fig.II-71 Evolution du courant statorique et de la vitesse de rotation avant et aprs le dfaut
du C.O

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
(a)
V
g
4
(
V
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
(c)
t(s)
i
s
3
(
A
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
(d)
t(s)
i
s
1
(
A
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
(b)
i
s
2
(
A
)
time fault
t(s)
t(s)
t(s)


Fig.II-72. Rsultats de simulation (a) le signal de commande de lIGBT_4 vs temps, (b)
courant statorique de la phase 2 vs temps, (c) courant statorique de la phase 3 vs temps. (d)
courant statorique de la phase 1 vs temps avant et aprs dfaut
Chapitre II- Modlisation et simulation
140
1.55 1.6 1.65 1.7 1.75
-1
0
1
(a)
V
g
4
(
V
)
1.55 1.6 1.65 1.7 1.75
-10
0
10
(b)
i
s
2
(
A
)
1.55 1.6 1.65 1.7 1.75
-10
0
10
(c)
i
s
3
(
A
)
1.55 1.6 1.65 1.7 1.75
-10
0
10
(d)
t(s)
i
s
1
(
A
)

Fig.II-73 Rsultats de simulation (zoom sur lintervalle zone de dfaut), (a) le signal de
commande de IGBT_4 vs temps, (b) courant statorique de la phase 2 vs temps, (c) courant
statorique de la phase 3 vs temps. (d) courant statorique de la phase 1 vs temps.

Nous constatons que louverture de linterrupteur T4 entrane lapparition dune composante
continue dans les courants de phase ; notamment le courant dans la phase 2 prsente une forte
composante continue. Cette dernire provoque un freinage de la machine se traduisant par une
baisse de la vitesse de rotation.
II.5 Conclusion

Lutilisation croissante des convertisseurs dans les systmes de l'lectronique de puissance
multiplie les sources de dfaillances. Les composants de base deviennent de plus en plus
complexes intgrant un grand nombre dlments avec de multiples interactions sur des
surfaces de plus en plus rduites, ce qui les rend plus vulnrables. Il devient impratif de
rduire les sources de dfaillances ainsi que les consquences de dfaillances de ces
composants.
Il en est de mme pour les systmes autonomes embarqus qui sont utiliss dans des
environnements o la dfaillance peut avoir des consquences critiques aussi bien en terme de
vies humaines quen terme dconomie.
Dautre part, la conception des systmes embarqus a toujours t rgie par des critres de
performance en termes defficacit. Lune des volutions essentielles concerne la prise en
compte, depuis la phase de conception jusqu la phase oprationnelle, de besoins relatifs
Chapitre II- Modlisation et simulation
141
leurs srets de fonctionnement. Cela se traduit au niveau du convertisseur par des
spcifications de robustesse qui demandent apprhender les criticits de fonctionnement du
systme notamment les dpassements des grandeurs limites (courant, tension, temprature).
En outre, cela met au premier plan le rle de la surveillance et de la supervision qui sont des
tches de plus haut niveau dans la hirarchie du concept de sret de fonctionnement
formalis au chapitre prcdent.
Ainsi, le systme doit tre capable de prendre en compte des vnements imprvus, amenant
le systme dans un tat incohrent avec un comportement de rfrence, la suite dune faute
ou une dfaillance. La prvision des fautes concerne lvaluation de la sret de
fonctionnement et des performances par modlisation ou par exprimentation dans lobjectif
de prvoir les consquences de fautes accidentelles et des malveillances sur la sret de
fonctionnement.






















Chapitre II- Modlisation et simulation
142










Chapitre III- ESSAIS ET ANALYSES

143






Chapitre III
ESSAIS ET ANALYSES





















Chapitre III- ESSAIS ET ANALYSES
144


















































Chapitre III- ESSAIS ET ANALYSES
145









Sommaire III


Chapitre III- ESSAIS ET ANALYSES .......................................................................... 143
III.1 Introduction ................................................................................................................ 147
III.2 Description du banc dexprimentation ..................................................................... 147
III.2.1 Carte dacquisition dSPACE DS1103 ................................................................. 152
III.2.2 Dispositifs dEntre /Sortie ................................................................................. 154
III.2.3 Programmation temps rel de la carte DS1103 ................................................... 154
III.3 Essais exprimentaux ................................................................................................. 156
III.3.1 Condition exprimentale ..................................................................................... 156
III.3.2 Validation en fonctionnement normal ................................................................. 157
III.3.3 Validation du mode dfaillant ............................................................................. 158
III.3.4 Rsultat dexprimentation ................................................................................. 158
III.3.5 Solution propose ................................................................................................ 163
III.4 Analyse des dfaillances ............................................................................................ 163
III.4.1 Choix de la mthode danalyse ........................................................................... 163
III.4.2 Analyse Multirsolution ...................................................................................... 164
III.4.3 Analyse des dfauts ............................................................................................. 170
III.4.4 Surveillance du systme ...................................................................................... 170
III.4.5 Influence de linstant du dfaut ........................................................................... 172
III.5 Exemples de cas de dfaillances ................................................................................ 175
III.5.1 Cas dune cellule de commutation ...................................................................... 175
III.5.2 Cas de deux IGBTs ............................................................................................. 178
III.6 Conclusion .................................................................................................................. 181













Chapitre III- ESSAIS ET ANALYSES
146


















































Chapitre III- ESSAIS ET ANALYSES
147

III.1 Introduction

Dans ce chapitre, nous nous proposons de valider exprimentalement et de caractriser les
modes de fonctionnement normal et dgrad du modle du systme de puissance, embarqu
dans le vhicule lectrique, dont les principes de base ont t thoriquement prsents et
illustrs par des rsultats de simulation au cours du chapitre prcdent.
Dans un premier temps nous prsentons le banc dexprimentation mis au point pour la
validation des rsultats de simulation. La commande numrique est btie autour dune carte
DSPACE 1103 bien adapte aux applications dans le domaine de llectronique de puissance.
Nous prsentons, ensuite, un ensemble de rsultats exprimentaux raliss avec le banc qui
justifie la validit du modle labor.
Finalement, dans le cadre du diagnostic et la surveillance du systme de puissance, parmi
toutes les mthodes nous nous intressons celles bases sur les techniques de traitement de
signal, et plus particulirement la dcomposition en Ondelettes. Comme nous lavons dj
mentionn au chapitre I, ces mthodes de traitement de signal sont, par nature, proches du
systme surveill, et principalement utilises pour la gnration dalarmes. En outre, la
transforme en Ondelettes prsente la particularit de mettre en vidence les singularits dun
signal dans le plan temps-chelle [FUCH 03]. Cette proprit ainsi que dautres de la
transforme en Ondelettes sont exploites afin de dtecter en ligne des singularits prsentes
dans le signal analys.
III.2 Description du banc dexprimentation

Un banc dexprimentation pour une chane de traction dun vhicule lectrique a t mis en
uvre au laboratoire L2EP de lEcole Centrale de Lille [BENN 03].
Ce banc comprend :
- Deux convertisseurs triphass en cascade assurant le redressement et londulation de
la tension utilisant des interrupteurs synthtiss (bras IGBT avec diode de puissance
en antiparallle).
- Une machine asynchrone 1.8kW (LeRoy Somer).
- Une machine courant continu excitation spare principalement utilise comme
charge en gnratrice couple la machine asynchrone (sur le mme arbre).

Chapitre III- ESSAIS ET ANALYSES
148
Llectronique de puissance renferme :
- Deux convertisseurs
- Des circuits daide la commutation
- Un circuit de dcharge.
Llectronique de mesure et de commande englobe un ensemble de cartes montes autour
dun radiateur en aluminium avec un ventilateur permettant de refroidir lensemble.
- La carte DSP :
La carte DSPACE DS 1103, insre sur le bus ISA du PC, possde un contrleur digital
architecture mixte RISC/DSP base sur deux microprocesseurs (power PC 604e- 333 MHz et
un processeur Texas instrument TMS320F240-20MHz) et quatre convertisseurs analogiques
digitaux hautes rsolutions (0.8 s 12 bits) [DSPA 02]. La carte DSP DS1103 permet de
gnrer les signaux de commande des interrupteurs. La conjonction des logiciels Simulink /
Control Desk permet un calcul rapide en virgule flottante des diffrentes phases de la
commande puis de les piloter en temps rel en agissant sur certains paramtres.
La description du banc dexprimentation, incluant le convertisseur de puissance, par un
schma synoptique et par un schma modulaire est montre respectivement sur les figures III-
1 et III-2.
- La carte de modulation
Elle est compose de multiplexeurs et dun circuit programmable GAL [BENN 03]. Elle
reoit les signaux binaires fournis par la carte DSP (temps de commande des multiplexeurs,
vecteur consigne de tension) et les convertit en signaux directement destins piloter le bras
IGBT par le biais des cartes drivers.
- La carte de scurit
Elle gre les dfauts de type surintensit de courant et tension. Elle assure la protection du bus
continu et commande le transistor du circuit de dcharge.
- Les cartes drivers
Chaque cellule de commutation est munie dune carte driver commandant ces deux IGBTs.
Par consquent, en adaptant les signaux provenant de la carte de modulation, elles gnrent
une commande complmentaire pour viter le court circuit sur le bus continu. En effet un
ajustement des temps morts est effectu conformment aux caractristiques techniques des
IGBTs. Elles isolent llectronique de puissance de llectronique de mesure et adaptent les
niveaux de commande pour les IGBTs.

Chapitre III- ESSAIS ET ANALYSES
149
- La carte de mesure
Cette carte multifonctionnelle assure la mesure des courants ct rseau et ct gnratrice,
ainsi que la tension continue. Elle alimente en continu les autres cartes en 15v et 0 - 5v.
- La carte de synchronisation
Elle permet de connecter la carte DSP au rseau lectrique en ralisant une fonction
dadaptation damplitude des tensions simples du rseau injectes sur les entres de la carte
DSP.





E

M. A. S
Onduleur
Mesures
DS1103 dspace
DSP
Equipments de
mesures


Fig.III-1 Synoptique du banc dexprimentation
Chapitre III- ESSAIS ET ANALYSES
150




12 bits
Control desk
DSPACE 1103
Simulink
Onduleur
D
r
i
v
e
r

CAN
Mesure de la
vitesse
DSP
3 Phases
Arbre du
moteur
MAS
CNA
Commande
analogique
12 bits 12 bits
CAN

Fig.III-2 Schma modulaire du banc exprimental

Une photographie prises sur le banc dexprimentation montre une vue globale du systme
Fig.III-3.
La figure III-4 montre la partie onduleur avec lensemble des circuits daide la commutation
et le circuit de dcharge.













Chapitre III- ESSAIS ET ANALYSES
151







F
i
g
.
I
I
I
-
3

P
h
o
t
o

d
u

b
a
n
c

d

e
x
p

r
i
m
e
n
t
a
t
i
o
n

i
n
c
l
u
a
n
t

l
e

c
o
n
v
e
r
t
i
s
s
e
u
r

d
e

p
u
i
s
s
a
n
c
e

Chapitre III- ESSAIS ET ANALYSES
152




Fig.III-4 Photo de londuleur trois bras IGBT
III.2.1 Carte dacquisition dSPACE DS1103

Les systmes dSPACE sont utiliss partout o des systmes de contrle mcatroniques
rapides sont conus et tests. Ils permettent doptimiser un processus de dveloppement
notamment le dveloppement permanent d'units de contrle en ingnierie automobile.
Les produits de dSPACE sont utiliss dans diverses applications tel que le dveloppement de
systmes de freinage brake-by-wire, le test d'units de contrle de l'habitacle
Dans le contexte du contrle des machines, lunit de calcul doit tre capable deffectuer
certains calculs des frquences assez leves. De plus, sa structure doit permettre dexcuter
Chapitre III- ESSAIS ET ANALYSES
153
plusieurs tches des frquences de rcurrences diffrentes. En outre, dans le domaine de la
recherche il est souvent ncessaire de pouvoir tester diffrents algorithmes de commandes en
un temps de dveloppement minimal, donc avec un environnement adapt aux outils que nous
utilisons dj, en particulier Matlab/Simulink. Ces considrations nous ont amen retenir la
solution dSPACE et le jeu de logiciel temps rel pour Simulink qui permet dexcuter un bloc
en temps rel. Cette unit de commande (PC) peut tre utilise sur tout banc dont le
convertisseur est quip de la carte de synchronisation. Toutefois, il nous a fallu raliser
quelques adaptations logicielles et matrielles avant darriver ces rsultats.

Prsentation de la carte dacquisition

La carte dacquisition est une carte trs performante comportant plusieurs processeurs et
dispose de nombreuses entres et sorties analogiques ou numriques ainsi que des encodeurs
incrmentaux. Chaque processeur possde son compilateur C appropri (un pour PPC
Motorola, un pour Slave DSP de Texas Instruments et un autre pour le Slave de SIEMENS).
La carte dispose aussi dune barrette de mmoire RAM indpendante et qui peut tre
augmente. Cette carte peut tre insre au bus ISA de la carte mre dun ordinateur
compatible IBM.
PPC
La carte dacquisition DS1103 est un systme bas sur le processeur Matre (Master)
Motorola 604 e PPC (333 MHZ clock), qui reprsente lunit de traitement principale.
Units dEntre/Sortie
Un ensemble de priphriques, frquemment utiliss dans des systmes de commande
numrique, a t ajout au PPC. Outre, les convertisseurs A/D et D/A, les ports numriques
dE/S, linterface srie, la carte PPC comprend aussi la commande des encodeurs
incrmentaux.
Le sous ensemble DSP
Le DSP (Digital Signal Processing) est un processeur esclave (Slave) bas sur le Texas
Instruments TMS320F240. Le DSP est particulirement conu pour la commande des
systmes lectriques. Parmi les possibilits dEntre/Sortie, le DSP fournit la gnration
triphase de PWM faisant un sous-ensemble utile pour le contrle et le guidage
dapplications.
Chapitre III- ESSAIS ET ANALYSES
154
Le sous ensemble CAN
Un autre sous-ensemble, bas sur le microcontrleur de Siemens 80C164 (MC), est employ
pour les connections entre les diffrents composants ADC.
Master PPC, Slave DSP, Slave MC
Le PPC a accs au DSP et aux sous- ensembles des CAN. En termes de communication inter
processeurs, le PPC est le matre, tandis que les DSP et le CAN MC sont des esclaves.
III.2.2 Dispositifs dEntre /Sortie

A/D Conversion
4 convertisseurs A/D parallles, quatre voies multiplexs chacun, avec une rsolution de
16-bit, et un temps dchantillonnage de 4 s.
4 convertisseurs A/D avec 1 voie dentre pour chacun, avec une rsolution de 12 bit et un
temps dchantillonnage de 800 ns.
Slave DSP ADC
2 convertisseurs A/D parallles, 8 voies multiplexs chacun, avec une rsolution de 10-bit
et un temps dchantillonnage 6 s.
Entre/Sortie Numrique
32-bit input/output, avec possibilit de configuration bit-wise.
19-bit input/output, avec possibilit de configuration bit-wise.
D/A Conversion
2 convertisseurs D/A avec 4 voies chacun et une rsolution de 14-bit.
Interface dEncodeurs Incrmentaux
1 voie analogique avec compteur 22/38-bit.
1 voie numrique avec compteur 16/24/32-bit.
5 voies numriques avec compteur 24-bit.
Contrleur dInterruptions
E/S Srie
Interface UART standard pouvant tre configure alternativement en mode RS-232 ou RS-
422 mode.
III.2.3 Programmation temps rel de la carte DS1103

La programmation temps rel de la carte DS1103 ncessite une bonne connaissance de
lenvironnement de contrle de la carte DSPACE.
Chapitre III- ESSAIS ET ANALYSES
155
Pour le prototypage de fonctions sous MATLAB, lutilisateur cre des modles de commande
sous Simulink. Grce la fonction BUILD MODEL les modles sont traduits depuis
lextension *.MDL vers les codes en *.C et les bibliothques #include en *.H tout en utilisant
la boite outils REAL TIME WORKSHOP (RTI) de SIMULINK.
Lautre avantage est dimplmenter un grand nombre de fonctions et doutils en un temps
minimum, ce qui est plus pratique que la programmation manuelle en langage C. En tapant
rti1103 dans linterprteur de MATLAB, la bibliothque pour la cration de modles sous
SIMULINK est obtenue.
Il existe diffrentes bibliothques et chaque bloc reprsente une srie de composants
contenant une varit de programmes MATLAB qui, aprs BUILD seront assembls pour tre
ensuite compils et excuts puis chargs et lis au processeur temps- rel de la carte DS1103,
que ce soit le processeur MASTER PPC de Motorola, le Slave DSP de Texas Instruments ou
bien lautre processeur Slave de SIEMENS.
Aprs avoir lanc lacquisition sous le logiciel Controldesk, et activ le mode Animation,
chaque variable est associe sa fentre d'utilisation tel que le plotter de visualisation ou au
slider (bouton de rglage). Cela nous permettra de contrler (piloter) facilement la simulation
pendant lacquisition du signal en temps-rel et sans avoir modifier la valeur des variables
associes.
Acquisition en temps rel dun signal par Simulink

DS1103MUX_ADC_CON est souvent utilis pour lacquisition en temps rel dun signal par
Simulink. Ce bloc de la bibliothque rti1103 de DSPACE peut tre configur pour faire
lacquisition jusqu' 16 signaux Analogiques travers les convertisseurs analogiques/digitaux
ADC multiplexs avec la possibilit de choisir 4 entres par bloc.
Ces convertisseurs ADC prsentent les performances suivantes :
-Type : ADC approximations successives.
-Rsolution : 16 bits.
-Rapidit : caractrise par la frquence dchantillonnage f=250 kHz.
-Temps dacquisition : 4s.
-Tension dentre : -10 +10 volts au maximum.
Pour lacquisition de notre signal, ce convertisseur ncessite lajout de gains pour le rglage.
Aprs la compilation du modle par lintermdiaire de la commande BUILD system de
SIMULINK, le fichier *.SDF (System Descriptor File) est gnr automatiquement par le
compilateur et est ouvert laide du logiciel Controldesk Automation pour charger linterface
Chapitre III- ESSAIS ET ANALYSES
156
graphique *.LAY puis charger le fichier *.SDF au processeur MASTER PPC Motorola. La
visualisation peut tre alors lance en utilisant le mode ANIMATION sous ControlDesk.
La dernire tape consiste associer les diffrentes variables leurs instruments daffichage
sur Control Desk. La Figure III-5 montre un cran de pilotage pour le contrle du systme de
puissance. Les tracs, ci-dessus, montrent lallure des diffrents courants statoriques avec
leurs dphasages.


Fig. III-5 Ecran de pilotage du systme de puissance
III.3 Essais exprimentaux
III.3.1 Condition exprimentale

Les six transistors du systme de puissance de test dissipent la mme puissance. Lun deux
est destin relever les courants et les tensions en cas de dfaut.
Les premiers essais ont t mens avec beaucoup de difficults. En effet, la prsence du
dfaut du circuit ouvert sur lun des interrupteurs de puissance provoque une surlvation des
courants statoriques, ce qui a eu pour consquence lchauffement puis lendommagement de
la machine asynchrone en fin dexprimentation. Afin de diminuer la tension aux bornes de la
Chapitre III- ESSAIS ET ANALYSES
157
machine, nous avons insr trois rsistances de 3.9 O en srie avec linduit du moteur. Ce
choix est motiv par le fait que la puissance dissipe dans les transistors de puissance ainsi
que la machine asynchrone sont excessifs.
Un jeu de sonde est plac en diffrents points du systme de puissance, afin dobserver les
signaux de commande, les courants ainsi que les tensions statoriques.
La machine asynchrone utilise dans cette tude, pour la validation exprimentale du modle
du systme de puissance, est un moteur de 1.8 kW commercialis par la socit Leroy Somer.
La plaque signaltique donne les valeurs nominales suivantes :
Pn 1.8 [Kw] Lr 17.23 [mH]
Vn 380 [V] L 216 [mH]
Rs 6.7 [O| J 0.04 [Nms
2
]
Ls 2.16 [mH] q 3
Rr 2.29 [O| p 2

Tab.III-1 Les paramtres de la machine asynchrone
III.3.2 Validation en fonctionnement normal

Les apports de notre approche vis--vis de ltat de lart sont significatifs au niveau de
lextension la prise en compte des non linarits des composants semi-conducteurs et les
pertes en puissance voire la gestion du systme dans sa globalit. En effet, le modle
dvelopp permet de rendre compte de manire cohrente du comportement de lIGBT en
fonctionnement normal et ainsi que lorsqu'il est soumis un dfaut de type circuit ouvert. Une
bonne corrlation avec les mesures exprimentales effectues a t obtenue Fig. III-6.
Toutefois, le travail qualitatif de validation des modles de semi-conducteurs nest pas lobjet
de cette tude. C'est pourquoi, on considre que le modle et sa mise en uvre sont valids,
les choix effectus lors de la cration du modle conduisent une prsentation raliste de
linterrupteur de puissance.
La principale limite de ce modle rside dans le fait quil gre les diffrents lments du
composant comme des entits indivisibles. Il lui est donc impossible de rendre compte des
phnomnes suivants :
- Non homognit de la gnration thermique au niveau de la jonction,
- Non homognit de la rsistance thermique de contact entre deux lments de
lIGBT.
Chapitre III- ESSAIS ET ANALYSES
158
Ces diffrents points ont t volontairement ngligs, dans une premire tape de ce travail,
compte tenu du fait que, soit quils sont ngligeables dans les conditions normales
dutilisation de lIGBT, soit ils caractrisent un fonctionnement dgrad du composant.

III.3.3 Validation du mode dfaillant

Pour analyser le comportement de lensemble moteur/convertisseur en prsence de dfauts
nous avons effectu des essais dans les conditions dexprimentations sus-indiques.
Plusieurs dfauts sont possibles mais ils ne seront pas tous considrs dans ce travail. Les
dfauts mcaniques et lectriques du moteur ainsi que les dfauts dalimentation ne seront pas
tudis. Nous considrons seulement les dfauts lectriques du convertisseur statique qui se
rsument par :
- le dfaut de court-circuit du composant de puissance,
- le dfaut de circuit ouvert du composant de puissance.
Dans ce travail nous nous intressons, en particulier, au dfaut du circuit ouvert de l IGBT du
bas de la seconde cellule de l'onduleur.
On provoque louverture du transistor de puissance sur une faible dure de temps de lordre
0.1s afin dviter dendommager le transistor complmentaire, par les surtensions dues
labsence dun chemin de roue libre. En outre une protection rapide est ncessaire.
Nous constatons une bonne correspondance entre les rsultats de simulation et exprimentaux
Fig.III-10.
III.3.4 Rsultat dexprimentation

La Fig.III-6 montre les rsultats exprimentaux relevs sur la machine asynchrone lors du
dmarrage et en rgime permanent. Le signal du haut reprsente le signal de commande de
lIGBT_4 du bas du bras 2 de londuleur, le signal du milieu est celui du courant statorique de
phase_2 et le signal du bas correspond la vitesse de la machine.


Chapitre III- ESSAIS ET ANALYSES
159


Fig.III-6 Rsultats exprimentaux, (1) signal de commande de l IGBT_4, (2) courant statorique
de la phase_2 (3) vitesse en rgime permanent

Les courants statoriques dans les trois phases ainsi que le signal de commande de lIGBT du
bas du deuxime bras sont montrs sur la figure III-7.

Fig.III-7 Rsultats exprimentaux, (1) signal de commande de l IGBT_4, (2), (3) et (4) les
courants statoriques dans les trois phases

Chapitre III- ESSAIS ET ANALYSES
160



Fig.III-8 Rsultats exprimentaux, Zoom sur :(1) signal de commande de l IGBT_4, (2), (3) et (4)
les courants statoriques dans les trois phases

Un dfaut de circuit ouvert est provoqu sur une dure prcise. La Fig.III-9 montre les
rsultats exprimentaux relevs sur la machine asynchrone lors de l'apparition du dfaut de
circuit ouvert, le signal du haut reprsente le signal de commande de lIGBT_4 du bas du bras
2 de londuleur, le signal du milieu est celui du courant statorique de phase_2 et le signal du
bas correspond la vitesse de la machine. Nous constatons que la vitesse de la machine se
dgrade en prsence du dfaut.
























Chapitre III- ESSAIS ET ANALYSES
161







Fig.III-9 Transitoire de dfaut de circuit ouvert, (1) signal de commande de l IGBT_4, (2)
courant statorique de la phase_2 (3) vitesse en rgime permanent

Les courants dans les trois phases en rgime normal et en prsence du dfaut sont montrs sur
la Fig.III-10-1. Du haut vers le bas sont reprsents les signaux suivants : Le signal de
commande de lIGBT_4 du bas du bras 2 de londuleur, le courant statorique de phase_2, le
courant statorique de phase_3 et le courant statorique de la phase_1.
Nous constatons une bonne correspondance des rsultats exprimentaux avec ceux issus de la
simulation Fig.III-10-1 et III.10-2.













Chapitre III- ESSAIS ET ANALYSES
162




















(a)


1.55 1.6 1.65 1.7 1.75
-1
0
1
(a)
V
g
4
(
V
)
1.55 1.6 1.65 1.7 1.75
-10
0
10
(b)
i
s
2
(
A
)
1.55 1.6 1.65 1.7 1.75
-10
0
10
(c)
i
s
3
(
A
)
1.55 1.6 1.65 1.7 1.75
-10
0
10
(d)
t(s)
i
s
1
(
A
)

Fig.III-10 Transitoire de dfaut de circuit ouvert, (1) signal de commande de l IGBT_4, (2)
courant statorique de la phase_2, (4) courant statorique de la phase_3 et (3) courant statorique
de la phase_1 :(a) par lexprimentation et (b) par la simulation.


(b)
(1)
(2)
(4)
(3)
Chapitre III- ESSAIS ET ANALYSES
163
III.3.5 Solution propose

Lorsque lune des trois phases est coupe cause dun dfaut de circuit ouvert du composant
de puissance, il a t constat par [ELCH 91] que la mme courbe de la force magntomotrice
m.m.f peut tre obtenue par les deux phases restantes.
Cependant des valeurs appropries pour les courants de phase sont ncessaires pour avoir le
mme couple. Ainsi les courants de phase transforms dans un rfrentiel stationnaire ne sont
pas affects. Cependant, la composante homopolaire est non nulle.
Sous cette condition de dfaut, nous proposons de connecter le point neutre du stator de la
machine asynchrone au point milieu de lalimentation continue ou bien un quatrime bras
donduleur. Alors londuleur peut appliquer aux phases restantes respectivement la moiti de
la tension dalimentation ou la totalit de la tension (en cas dajout de quatrime bras).
III.4 Analyse des dfaillances

Pour aborder le problme de dtection et disolation de dfaut (localisation et identification),
il convient dadopter une reprsentation approprie du systme surveill, sous forme dun
modle comportemental de diagnostic prenant en compte les diffrentes sources de
perturbation notamment les variations paramtriques ainsi que les dfauts ventuels (circuit
ouvert ou court-circuit) pouvant survenir dans le systme [BENL 02].
III.4.1 Choix de la mthode danalyse

Lanalyse structurelle du modle global permet alors dobtenir la surveillabilit du systme
sur la base de linstrumentation utilise. Ceci permet alors loprateur de placer
convenablement, dune faon graphique, les capteurs pour satisfaire le cahier des charges fix.
De nombreuses contributions portent sur le diagnostic du systme de puissance par des
techniques de traitement du signal et en particulier des techniques danalyse spectrale. Leur
principal inconvnient est de ne pas gnraliser au contexte de lentranement variable par
rapport lchelle du temps notamment pour lobtention dune information prcise au
voisinage des fronts de courant ou tension.
Des techniques de redondance analytique ont t appliques au diagnostic de la chane de
puissance. Nanmoins, un problme vient alors de la ncessit destimer tous les paramtres
ou de la non estimation des dfauts.
Par consquent, la mthode danalyse par les techniques dOndelette semble la plus
approprie afin de surmonter cette difficult, [KIM 02] [ANAN 95]. Celle-ci prsente la
Chapitre III- ESSAIS ET ANALYSES
164
particularit de mettre en vidence les singularits dun signal dans le plan temps-chelle .
Si de plus la fonction danalyse (appele Ondelette mre) est bien localise temporellement,
le retard la dtection sera trs faible [LI 00]. Ces proprits de la transforme en
Ondelettes ont t exploites afin de dtecter en ligne des singularits prsentes dans le signal
analys.
Le choix de lOndelette mre est trs important dans loptique de lutilisation des coefficients
dOndelette comme dtecteurs. Ce dtecteur transpose la procdure de dcision dans un
domaine transform. En outre, il convient de choisir des fonctions mres dallure voisine des
signatures que lon dsire dtecter [GUOG 02].
Notre choix sest port sur une Ondelette Daubechies dont la forme est proche de celle des
signatures Fig.III-11, [DAUB 90].


Fig.III-11 Allure dune Ondelette Daubechies
III.4.2 Analyse Multirsolution

Lanalyse de Fourier court terme [FLAN 93] (ou fentre glissante) permet danalyser
lvolution du contenu frquentiel dun signal au cours du temps. Elle effectue un pavage
rgulier de lespace temps-frquence. La consquence est quune bonne localisation
temporelle (correspondant une fentre troite) est faite au dtriment de la localisation
frquentielle, et inversement. Pour pallier cet inconvnient, la transforme en
Ondelettes permet une variation inverse des deux rsolutions en conservant un produit
constant afin de garantir un pavage nergtiquement rgulier de lespace temps-frquence
[TRUC 98]. La transforme en Ondelettes Continue s'exprime par :
}
+

= dt t t s s CWT
b a b a
) ( ) ( ) (
, ,
(Eq III.1)
On projette le signal s(t) sur une famille de fonctions analysantes ) (
,
t
b a
construites partir
dune fonction mre .
Chapitre III- ESSAIS ET ANALYSES
165
) (
1
) (
,
a
b t
a
t
b a

= (Eq III.2)
o a est le facteur dchelle tandis que b est le paramtre de translation de la fonction.
Cette transformation continue introduit naturellement de la redondance dans la mesure
o lon augmente lespace de reprsentation. Ainsi, les coefficients CWT
a1,b1
et CWT
a2,b2

sont voisins si les atomes du plan temps-frquence qu ils dcrivent se superposent. De
ce fait, ils contiennent de linformation commune [STRA 96].
La redondance peut tre diminue (voire annule) en discrtisant les paramtres a et b ,
ce qui conduit la transforme en Ondelettes Discrte.

}
+

= dt t t s s DWT
n m n m
) ( ) ( ) (
, ,
(Eq III.3)
o
) (
1
) (
0 0
0
,
nb t a
a
t
m
m
n m
=

(Eq III.4)
Si de plus, on choisit a
0
=2, b
0
=1, on obtient la transforme dyadique qui a la particularit de
dcouper le domaine frquentiel en octave [STRA 96]. Comme cites prcdemment, les
Ondelettes permettent dobtenir une rsolution temps-frquence dun signal physique. Nous
navons plus de contrainte de reprsentation du signal. Une premire approche consiste
effectuer une transforme en Ondelettes continues, analogue la transforme de Fourier. De
mme, un algorithme rapide appel Fast Fourier Transform a t tabli permettant une
conomie substantielle de calculs. Dans le cas des Ondelettes, un tel algorithme existe
galement : la multirsolution.
Une multirsolution analyse le signal haute frquence, pour en prlever les dtails, ensuite
elle analyse le signal une rsolution deux fois moins fine, et elle ritre lopration en
grossissant son chelle dun facteur deux, sans se proccuper des chelles intermdiaires,
jusqu obtenir une description complte du signal. En gnral, la description du signal six
chelles diffrentes est suffisante pour dcrire le signal.
Parmi les diffrentes transformes en Ondelettes, nous distinguons lanalyse multirsolution
avec des bases orthonormes. Ainsi le signal initial est projet sur des sous-espaces V
j

embots les uns dans les autres, et tel que lespace V
j+1
contienne un signal plus grossier
(i.e. une approximation) que lespace V
j
. On dfinit galement un espace W
j
tel que V
j-1
= V
j

W
j
dans lequel on retrouve les dtails du signal perdus lors de la projection de lespace
V
j
lespace V
j+1
(cf. Figure III-12)

Chapitre III- ESSAIS ET ANALYSES
166

Fig.III-12 Schma de lanalyse multirsolution

Lanalyse multirsolution peut galement tre interprte en terme de filtrage numrique. Les
approximations du signal aux diffrentes chelles sont obtenues par lintermdiaire dun filtre
passe-bas h tandis que les dtails sont calculs en utilisant un filtre passe-haut g . Ce qui
conduit lalgorithme danalyse donn Fig.III-13 [ANAN 95].


Fig.III-13 Algorithme danalyse

2 est un sous-chantillonnage dun facteur 2.
Lorsque les donnes sont chantillonnes, les approximations et dtails sont obtenus par de
simples produits scalaires.
La dmarche prsente prcdemment a t applique sur des donnes issues de la chane de
traction au problme de la dtection de dfaillances. Nous avons choisi comme fonction
danalyse lOndelette de Daubechies car elle est particulirement bien adapte une
implantation en temps rel de la procdure de dtection. En effet, ses filtres associs h et g
ne possdent chacun que deux coefficients. Ainsi, le cot de calcul ncessaire la
0
n
a
h
~

g
~
h
~

g
~

+2
+2
+2
+2 1
1 n
a
1
1 n
d
2
2 n
d
2
2 n
a

g







V
-1



V
0




W
0



V
1

W
1
V
2
W
2
h
g
g
h
h

Chapitre III- ESSAIS ET ANALYSES


167
dtermination des coefficients dOndelettes
j
k
d sera minimal. De plus, elle permet de dtecter
des discontinuits, ce qui est recherch ici.
Aprs des tests sur des signaux avec et sans dfauts, nous avons choisi de dcomposer le
signal
j
c sur 6 niveaux (J = 6).
Sur la Figure III-14, nous avons report le rsultat obtenu pour les trois courants statoriques.
Pour ce mme fichier. La Figure III-15 montre les coefficients dOndelettes
j
k
d pour les 6
niveaux danalyse. On voit apparatre clairement des taux dalarme levs en prsence dun
dfaut de circuit ouvert. Les algorithmes ont t implants dans lenvironnement Matlab avec
un rel souci dune transposition aise sur un calculateur cible (DSP par exemple) pour une
utilisation en ligne du produit dvelopp.
Chapitre III- ESSAIS ET ANALYSES
168
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-15
-10
-5
0
5
10
15
phase 1 stator current ( is1) - T4 open circuit fault
(
b
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-8
-6
-4
-2
0
2
4
Level 6 Approximation is1
t(s)
(
a
)

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-20
-10
0
10
20
phase 2 stator current ( is2 ) - T4 open circuit fault
(
a
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-5
0
5
10
15
Level 6 Approximation is2
t(s)
(
b
)

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-15
-10
-5
0
5
10
15
phase 3 stator current ( is3) - T4 open circuit fault
(
a
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-8
-6
-4
-2
0
2
4
Level 6 Approximation is3
t(s)
(
b
)

Fig.III-14 Dfaut de circuit ouvert sur le Transistor T4 : (a) 3 phases de courant statorique, (b)
approximation du niveau 6 du courant statorique



Chapitre III- ESSAIS ET ANALYSES
169
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-2
0
2
D1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-5
0
5
D2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-5
0
5
D3
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-10
0
10
D4
i
s
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-20
0
20
D5
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-10
0
10
D6
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-10
0
10
Level 6 Approximation
t(s)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-2
0
2
D1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-5
0
5
D2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-5
0
5
D3
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-10
0
10
D4
i
s
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-20
0
20
D5
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-10
0
10
D6
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-20
0
20
Level 6 Approximation
t(s)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-2
0
2
D1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-5
0
5
D2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-5
0
5
D3
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-10
0
10
D4
i
s
3
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-20
0
20
D5
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-10
0
10
D6
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8
-10
0
10
Level 6 Approximation
t(s)


Fig.III-15 Dfaut de circuit ouvert sur le Transistor T4 : 6 niveaux de dtails avec
lapproximation du niveau 6 de la phase 1, 2 et 3 courent statorique

Chapitre III- ESSAIS ET ANALYSES
170
III.4.3 Analyse des dfauts

Une analyse des signaux est effectue sur les 6 niveaux de dtails ainsi que les coefficients
dapproximation montre sur les figures III-14 et III-15. Nous constatons que la valeur
moyenne du courant statorique, en rgime permanent, est nulle. Lorsquun dfaut de circuit
ouvert apparat sur linterrupteur de puissance, une information de dfaut sur les signaux est
incluse dans chaque plage frquentielle. Il existe des pics sur les 6 niveaux de dtail. Le temps
correspondant ces pics est en corrlation avec le temps de survenu du dfaut. En effet, le
dfaut introduit un niveau non nul doffset qui est nettement observ sur le niveau
dapproximation 6.
En outre, nous constatons lajout dune composante continue importante sur la phase de
dfaut par comparaison aux autres phases. Une tude sur le domaine temporel permet de
sparer le systme en dfaut du systme normal. En effet, les niveaux de dtails 6 et 5 sont
diffrents.
En outre, lanalyse des diffrents niveaux de dtails et dapproximation montre que le niveau
du signal augmente sur certaines plages de frquence et diminue sur dautres. Cela signifie
quil ya augmentation dnergie sur une plage de frquence et une diminution sur dautres
rgions. Pour quantifier les caractristiques extraites partir de la dcomposition en
Ondelettes, on dfinit la valeur moyenne de lnergie dans un signal donn comme :
N
s
E
i
i
=
2
(Eq III.5)
o N est le nombre dchantillons, i = 1,.,6 et s
i
correspond aux niveaux de dtails d1,.,d6
et le niveau dapproximation a6.
III.4.4 Surveillance du systme

A partir des caractristiques extraites de la dcomposition en Ondelettes discrtes, des calculs
sont effectus sur ces donnes pour fournir la valeur moyenne de lnergie. Les rsultats de
calculs sont lists dans les tables III-2, III-3 et III-4.
Cette approche qui permet de classer les dfauts de circuit ouvert de l IGBT dans le
convertisseur statique est dterministe en nature. En effet, partir des tables III-2 III-4, nous
constatons que la mthode de lnergie est bien adapte la classification de cette catgorie
de dfaut et la corrlation avec la valeur moyenne des coefficients dapproximation permet de
sparer de manire fiable les dfauts de l IGBT du haut de celui du bas sur une mme
cellules de commutations.
Chapitre III- ESSAIS ET ANALYSES
171


Is1 a6 d1 d2 d3 d4 d5 d6 E
No/fault 1.5182 0.0825 0.4799 0.7222 4.4092 22.1590 0.8816 30.2527
IGBT1 26.8608 0.0936 0.5721 0.9848 5.4092 26.5568 1.0032 61.4805
IGBT2 26.4956 0.0863 0.6406 0.9285 4.8275 27.6019 1.0533 61.6337
IGBT3 12.7254 0.0952 0.5555 0.9347 5.7252 27.2220 1.5561 48.8142
IGBT4 10.7991 0.0956 0.6513 0.9401 5.2213 30.5435 1.5586 49.8095
IGBT5 6.9758 0.0896 0.5263 0.8525 5.0845 24.7549 0.9984 39.2820
IGBT6 9.4948 0.0932 0.6069 0.8573 5.6009 26.7690 1.6587 45.0808

TABLE III-2
Energie moyenne calcule partir des coefficients dOndelette extraite du courant statorique Is1

Is2 a6 d1 d2 d3 d4 d5 d6 E
No/fault 1.5719 0.0810 0.5533 0.6484 4.1246 22.5567 1.2180 30.7539
IGBT1 9.0236 0.1020 0.6922 0.8829 5.3208 28.1939 2.2372 46.4525
IGBT2 11.0746 0.0934 0.6504 0.9718 5.2505 28.3399 1.4029 47.7835
IGBT3 31.1614 0.0875 0.6259 0.8648 4.8491 26.0840 1.3490 65.0218
IGBT4 25.2554 0.0864 0.6147 0.9420 4.7931 28.6702 1.2139 61.5756
IGBT5 17.2117 0.0854 0.5881 0.7765 5.0160 25.7967 1.6373 51.1117
IGBT6 9.1859 0.0903 0.6762 0.7762 5.3572 28.0793 2.3163 46.4814

TABLE III-3
Energie moyenne calcule partir des coefficients dOndelette extraite du courant statorique Is2

Is3 A6 D1 D2 D3 D4 D5 D6 E
No/fault 1.9183 0.0804 0.5764 0.6229 4.1398 22.9710 0.9092 31.2180
IGBT1 8.3908 0.6987 0.0982 0.8794 5.5258 29.6539 2.0512 47.2981
IGBT2 9.1657 0.0918 0.6378 0.9379 5.2424 30.0420 1.9312 48.0487
IGBT3 8.9171 0.0948 0.6946 0.7933 5.2317 27.4951 1.0984 44.3249
IGBT4 8.8846 0.1044 0.7461 0.8068 5.1303 28.9314 1.4715 46.0751
IGBT5 35.9996 0.0797 0.6033 0.7735 4.5091 24.5161 0.6307 67.1119
IGBT6 31.1378 0.0894 0.6468 0.7869 4.9930 25.9120 1.4801 65.0460

TABLE III-4
Energie moyenne calcule partir des coefficients d Ondelette extraite du courant statorique Is3
La table III-5 montre les coefficients dapproximation relatifs aux signaux Is1, Is2 et Is3 en
considrant chaque fois le dfaut de circuit ouvert sur lun des IGBT de londuleur. Nous
remarquons que chaque dfaut est caractris par une combinaison typique de polarit
doffset caractrisant l IGBT en dfaut. Ce rsultat permet de localiser le dfaut par le test de
la valeur doffset. Ainsi comme issue de ce travail, la combinaison de la mthode danalyse
avec un systme intelligent tel que les techniques de la logique floue offre de grandes
possibilits surveiller un systme de puissance embarqu dans le vhicule lectrique sur un
grand ventail de dfauts.



Chapitre III- ESSAIS ET ANALYSES
172

Valeur moyenne de
lApprox. Niveau 6
Is1 Is2 Is3
a6 no fault -0.0504 -0.0573 -0.0069
a6 IGBT1 -2.5983 1.4364 1.1620
a6 IGBT2 2.6604 -1.5128 -1.1476
a6 IGBT3 1.6380 -2.9198 1.2818
a6 IGBT4 -1.1974 2.4311 -1.2337
a6 IGBT5 1.3043 2.2398 -3.5441
a6 IGBT6 -1.5289 -1.5356 3.0645
TABLE III-5
Valeur moyenne de lapprox. Niveau 6 de Is1, Is2 et Is2

En considrant un taux de dchantillonnage de 4780 chantillons par seconde, la table III-6
montre les bandes de frquence caractrisant chaque niveau de dcomposition.

coefficients de
dcomposition
Bande de Frquence
d1 1195-2390 Hz
d2 597-1195 Hz
d3 298-597 Hz
d4 149-298 Hz
d5 74-149 Hz
d6 37-74 Hz
a6 0-37 Hz

TABLE III-6
Bandes de frquence relatives chaque chelle de dcomposition

III.4.5 Influence de linstant du dfaut

Afin dtudier linfluence de linstant de dfaut sur les paramtres de surveillance, nous avons
effectu une srie de simulation pour diffrents instants de dfauts. Un dfaut de circuit ouvert
a t provoqu sur lIGBT du bas du deuxime bras aux instants t0=1,643 s, t1=1,653 s et
t2=1,5 s.
La figure III-16 montre une superposition des coefficients de dtails ainsi que les coefficients
dapproximation, qui ont tait extrait partir des courants statoriques Is1, Is2 et Is3, aux
instants t0 et t1. De mme la figure III-17 prsente une superposition des coefficients de
dtails dapproximation aux instants t0 et t2.
Nous constatons que ces coefficients donnent une bonne indication temporelle sur
loccurrence du dfaut.


Chapitre III- ESSAIS ET ANALYSES
173
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
D1
0
D1
1
(red)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D2
0
D2
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D3
0
D3
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D4
0
D4
1
i
s
1
d
0

i
s
1
d
1
(
r
e
d
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D5
0
D5
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D6
0
D6
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
Level 6 Approximation
0
t(s)

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
D1
0
D1
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D2
0
D2
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D3
0
D3
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D4
0
D4
1
i
s
2
d
0


i
s
2
d
1
(
r
e
d
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D5
0
D5
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D6
0
D6
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
Level 6 Approximation
0
t(s)

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
D1
0
D1
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D2
0
D2
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D3
0
D3
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D4
0
D4
1
i
s
3
d
0


i
s
3
d
1
(
r
e
d
)

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D5
0
D5
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D6
0
D6
1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
Level 6 Approximation
0
t(s)


Fig. III-16 Coefficients de dtails et dapproximation, extraits des courants statoriques Is1, Is2 et
Is3, aux instants t0 (bleu) et t1 (rouge).



Chapitre III- ESSAIS ET ANALYSES
174
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
D1
0
D1
2
(red)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D2
0
D2
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D3
0
D3
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D4
0
D4
2
i
s
1
d
0

i
s
1
d
2
(
r
e
d
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D5
0
D5
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D6
0
D6
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
Level 6 Approximation
0
t(s)

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
D1
0
D1
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D2
0
D2
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D3
0
D3
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D4
0
D4
2
i
s
2
d
0


i
s
2
d
2
(
r
e
d
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D5
0
D5
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D6
0
D6
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
Level 6 Approximation
0
t(s)

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
D1
0
D1
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D2
0
D2
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D3
0
D3
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D4
0
D4
2
i
s
3
d
0


i
s
3
d
2
(
r
e
d
)

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D5
0
D5
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D6
0
D6
2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
Level 6 Approximation
0
t(s)


Fig. III-17 Coefficients de dtails et dapproximation, extraits des courants statoriques Is1, Is2 et
Is3, aux instants t0 (bleu) et t2 (rouge).


Chapitre III- ESSAIS ET ANALYSES
175
Cependant, lnergie moyenne calcule partir des coefficients dapproximation et de dtails,
dans les trois cas de figure, rvle quil ny a pas de dpendance de lamplitude dnergie
dans chaque niveau - instant de dfauts Tab III-7 III-9. En outre, nous constatons une
similitude dans les variations des diffrentes valeurs. Une forte densit dnergie caractrise la
phase qui comporte le composant dfaillant.

t
0
=1.643s a6 d1 d2 d3 d4 d5 d6 E
Is1 11.0587 0.0793 0.5270 0.7988 4.2893 24.5662 2.3994 43.7188
Is2 46.1537 0.0706 0.5086 0.7595 3.9433 23.4966 1.0681 76.0004
Is3 20.2673 0.0847 0.6109 0.6880 4.2563 23.4417 1.7793 51.1282
TABLE III-7
Energie moyenne calcule partir des coefficients dOndelette extraite des courants statoriques
linstant de dfaut t2=1.643s.


t
1
=1.653s a6 d1 d2 d3 d4 d5 d6 E
Is1 11.3129 0.0969 0.6451 0.9573 5.2700 29.9696 2.9582 51.2101
Is2 26.6441 0.0863 0.6281 0.9326 4.8267 28.6640 1.3116 63.0935
Is3 6.9577 0.1040 0.7548 0.8184 5.1719 28.5717 2.2657 44.6443
TABLE III-8
Energie moyenne calcule partir des coefficients dOndelette extraite des courants statoriques
linstant de dfaut t2=1.653s.

T
2
=1.5s a6 d1 d2 d3 d4 d5 d6 E
Is1 8.2302 0.0952 0.6598 1.0058 5.6096 31.0127 3.4822 50.0955
Is2 31.2869 0.0842 0.6198 0.9620 4.8519 28.7618 1.1220 67.6886
Is3 10.8150 0.1043 0.7872 0.8409 5.5843 29.4831 2.7331 50.3479
TABLE III-9
Energie moyenne calcule partir des coefficients dOndelette extraite des courants statoriques
linstant de dfaut t2=1.5s.
III.5 Exemples de cas de dfaillances

Lobjectif de cette partie est lexploitation de notre simulateur pour ltude de dfaut de non
fermeture de deux interrupteurs. Cette tude sera effectue, dabord, avec des dfauts de non-
fermeture sur les deux interrupteurs dun mme bras de londuleur; ensuite avec deux
interrupteurs de bras diffrents.
III.5.1 Cas dune cellule de commutation

Un dfaut de circuit ouvert a t provoqu, linstant 1.5s, sur le premier bras (IGBT_a +
IGBT_b). Nous constatons que le courant de la phase dfaillante est nul quant aux deux autres
phases les courants prsentent une composante continue quasi nulle. Les forts courants de
phase stressent les composants de puissance de londuleur. Cependant, la vitesse de rotation
Chapitre III- ESSAIS ET ANALYSES
176
de la machine asynchrone prsente une lgre oscillation sans une dgradation notable. Ainsi,
la machine peut continuer tourner.
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
-10
0
10
20
courant statorique avec dfaut sur bras d"igbt ab
I
s
1
(
A
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
-10
0
10
20
I
s
2
(
A
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
-10
0
10
20
t(s)
I
s
3
(
A
)

Fig.III-18 Allure des courants statoriques avant et aprs apparition du dfauts sur un bras
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
500
1000
1500
vitesse et couple avec dfaut sur bras d"igbt cd
t(s)
w
(
t
r
/
s
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
-5
0
5
10
t(s)
C
e
(
N
/
m
)

Fig.III-19 Allure de la vitesse et du couple avant et aprs apparition de la dfaillance
Chapitre III- ESSAIS ET ANALYSES
177

La technique des Ondelettes a t utilise pour lanalyse des signaux de sorties (courants
statoriques) Fig.III-20. Linstant de dfaut est marqu par des variations au niveau des
coefficients dapproximation et le dtail de niveau 6 [SERG 03].
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
D1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D3
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D4
i
s
1
d
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D5
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D6
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
Level 6 Approximation
t(s)

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
D1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D3
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D4
i
s
2
d
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D5
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D6
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
Level 6 Approximation
t(s)

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
D1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D2
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D3
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D4
i
s
3
d
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D5
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D6
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
Level 6 Approximation
t(s)
Fig.III-20 Coefficients de dtails et dapproximation du niveau 6 des courants statoriques avant
et aprs apparition du dfaut
Chapitre III- ESSAIS ET ANALYSES
178
Lnergie moyenne est calcule partir des coefficients dOndelette extraits des courants
statoriques Tab III-10. Ce mode de dfaillance peut tre caractris par une faible densit
dnergie sur la phase 1 du courant statorique.

T2=1.5s a6 d1 d2 d3 d4 d5 d6 E
Is1 1.8147 0.0634 0.3896 0.5796 3.8646 19.517 0.8026 27.032
Is2 4.4696 0.0708 0.4876 0.6049 3.7560 21.095 1.5784 32.063
Is3 8.1305 0.0672 0.5158 0.6318 3.9027 22.275 1.5068 37.030
TABLE III-10
Energie moyenne calcule partir des coefficients dOndelette extraite des courants statoriques ;
dfaut t2=1.5s dans le cas de dfaut C.O sur le bras 1 (igbt a+igbt b)
III.5.2 Cas de deux IGBTs

Comme deuxime exemple dtude, nous avons considr le cas de dfaut de circuit ouvert
provoqu sur le composant du haut du premier bras de londuleur et le composant du bas du
troisime bras. Le courant passe par un transitoire o le courant atteint des valeurs de lordre
de 5 fois le courant nominal Fig.III-21. Pendant que la vitesse de la machine chute dune
manire rapide cause des forts courants du transitoire, le couple lectromagntique subit des
oscillations non priodiques et assez fortes Fig.III-22. La machine aura tendance freiner
cause des fortes distorsions.
De mme que prcdemment, lanalyse des signaux de sorties (courants statoriques) a t
effectue en utilisant la transformation en Ondelettes Fig.III-23. Nous remarquons, dans ce
cas dtude, que les coefficients dapproximation et de dtail de niveau 6 extraits du courant
statorique de la phase 2 (Is1) sont quasi nuls. Alors que, les coefficients dapproximation et de
dtail de niveau 6 extraits des courants statoriques de la phase 1 et 3 sont symtriques. Cette
constatation peut tre exploite pour gnrer une base de connaissance exploitable pour
automatiser le diagnostic [LOAN 01].







Chapitre III- ESSAIS ET ANALYSES
179
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-40
-20
0
20
courant statorique avec dfaut sur igbt a et f
I
s
1
(
A
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
-10
0
10
20
I
s
2
(
A
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
40
t(s)
I
s
3
(
A
)

Fig.III-21 Allure des courants statoriques avant et aprs apparition du dfauts sur les deux
composants de bras diffrents
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
500
1000
1500
vitesse et couple avec dfaut sur igbt a et f
t(s)
w
(
t
r
/
s
)
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-40
-30
-20
-10
0
10
t(s)
C
e
(
N
/
m
)

Fig.III-22 Allure de la vitesse et du couple avant et aprs apparition de la dfaillance dfauts
sur les deux composants de bras diffrents

Chapitre III- ESSAIS ET ANALYSES
180

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
D1
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D2
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D3
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D4
b
af
i
s
1
d
b
a
f
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D5
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D6
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
Level 6 Approximation
b
af
t(s)

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
D1
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D2
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D3
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D4
b
af
i
s
2
d
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D5
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D6
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
Level 6 Approximation
b
af
t(s)

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
D1
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D2
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-5
0
5
D3
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D4
b
af
i
s
3
d
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
D5
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-10
0
10
D6
b
af
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
0
20
Level 6 Approximation
b
af
t(s)

Fig.III-23 Coefficients de dtails et dapproximation du niveau 6 des courants statoriques avant
et aprs apparition du dfaut




Chapitre III- ESSAIS ET ANALYSES
181
Lnergie moyenne calcule partir des coefficients dOndelette extraite des courants
statoriques montre une forte densit dnergie sur la phase 1 et 3 par rapport la phase 2
caractrisant ce mode de dfaillance Tab III-11.

T
2
=1.5s a6 d1 d2 d3 d4 d5 d6 E
Is1 75.6026 0.0904 0.5664 0.9321 6.2000 27.7565 1.7583 112.9062
Is2 4.4481 0.0995 0.7209 1.0358 7.9032 29.7308 3.6153 47.5535
Is3 71.3042 0.0857 0.6651 0.7261 6.0203 28.9420 1.4684 109.2118
TABLE III-11
Energie moyenne calcule partir des coefficients dOndelette extraite des courants statoriques ;
dfaut t2=1.5s dans le cas de dfaut C.O sur deux IGBT (igbt a+igbtf)

III.6 Conclusion

A partir des caractristiques statiques et dynamiques le modle lectrothermique dIGBT a t
construit et restitue des rsultats ralistes. Nanmoins, le temps de calcul reste encore
important.
Le modle de convertisseur IGBT que nous proposons permet de simuler le comportement
de linterrupteur de puissance dans diffrents rgimes de fonctionnement notamment en mode
normal et en dfaut. Lapplication de mthodes de diagnostic, destin ici la dtection et
lanalyse des situations de dfaillances, donne des rsultats intressants. La mthode de
diagnostic choisie utilise les nouvelles techniques de traitement de signal : la transforme en
Ondelettes. Celle-ci prsente la particularit de mettre en vidence des singularits du signal
dans le plan chelletemps cette proprit a t exploit afin de surveiller (dtecter et
localiser) des singularits prsentes dans le signal. Enfin, notre outil est utilis pour la
caractrisation de trois cas de dfaillances. Celles-ci constituent les premiers lments dune
banque de donnes.










Chapitre III- ESSAIS ET ANALYSES
182






























Conclusion gnrale
183













CONCLUSION GENERALE






















Conclusion gnrale
184


































Conclusion gnrale
185
Notre objectif court terme est d'intgrer une approche de surveillance, pour le systme de
puissance embarqu dans le vhicule lectrique, pour en faire un systme sr de
fonctionnement. En effet, lune des volutions essentielles dans la conception des systmes
concerne la prise en compte, ds les premires phases, des proccupations de sret de
fonctionnement. Ainsi un systme de surveillance doit tre intgr trs tt dans la conception
des systmes. Sa capacit dtecter, localiser et diagnostiquer les vnements indsirables et
y ragir est un enjeu crucial. La mise en place de cet outil ne peut tre ralise sans passer
par les tapes de modlisation, simulation, essais et analyses.
Le but de ce travail a t de dvelopper diffrents outils danalyse et de conception, ainsi que
les mthodologies associes. Pour permettre datteindre cet objectif, les points essentiels ont
port sur la modlisation du systme de puissance embarqu et lanalyse des signaux
pertinents issus de ce systme.
Lapproche systme ncessite de mettre au point des modles adapts la synthse du
systme embarqu et reprsentatif des imperfections des diffrents lments. Les
imperfections lectriques et thermiques de londuleur contribuent la diminution des
performances et doivent tre considres, ou tout du moins quantifies pour assurer une
dmarche efficace dans lanalyse du fonctionnement dun tel systme. La conception dun
systme de puissance ne peut se faire sans des outils de simulation utilisant des modles
ralistes de composants actifs.
La premire tape a donc t dtablir et de valider le modle dun onduleur de tension
triphas, partir de modle de comportement de composants semi conducteur IGBT avec
diode en antiparallle, en vue de sa surveillance. Celui-ci ncessite la connaissance des
caractristiques statiques et dynamiques et des paramtres de commutation.
Le modle dvelopp a permis de prendre en considration les non-linarits des composants
semi-conducteurs. Ces non-linarits sont lies aux commutations, aux chutes de tension
ltat passant, aux courants inverses dans les diodes et aux temps morts entre les commandes
des diffrents interrupteurs.
En outre, lobtention du modle raliste passe par une tape importante didentification des
paramtres, soit partir des mesures, soit partir des donnes du fabriquant.
La seconde tape aborde est celle des phnomnes dchauffement dans les composants
semi-conducteurs. En effet, les simulations lectrothermiques sont essentielles pour la
conception et lanalyse correcte des composants du systme lectronique de puissance. Parmi
lensemble des modles thermiques, notre choix est port sur un modle en T pour reprsenter
la structure thermique du composant discret de puissance en tenant compte de lencapsulation.
Conclusion gnrale
186
L'intgration du modle thermique au modle de lIGBT ainsi que certains paramtres
dpendance thermique permettent l'estimation de la temprature de jonction ainsi que la prise
en compte des effets dchauffement dans le composant.
La validit du modle a t vrifie sur un banc exprimental. Ce banc comprend une source
de tension continue variable, un onduleur triphas, une machine asynchrone et un systme de
commande base dun ordinateur personnel quip dune carte DSP compatible avec
Matlab/Sumilink.
La dernire partie de ce rapport a t consacre lanalyse des signaux en vue de la
surveillance du systme embarqu. La fonction de la surveillance est de connatre et
dindiquer le plus rapidement possible avec le maximum de certitude, les anomalies de
comportement (ou dfaillance) partir des informations disponibles sur le systme (mesures,
modles, donnes de conception). Un algorithme de surveillance a t utilis bas sur les
techniques de traitement de signal, et plus particulirement la dcomposition en Ondelettes.
En effet, lorsque le dfaut se manifeste par une singularit dans le signal mesur, sa
dcomposition en Ondelettes permet non seulement de dtecter cette modification, mais
galement de dterminer linstant dapparition de cette perturbation.
Le problme qui se pose pour le traitement par la technique des Ondelettes est le choix du
type dOndelette appliqu lanalyse des signaux de sortie ainsi que le choix du niveau de
dcomposition convenable qui permet une perte dinformation minimale (filtrage).
Dans ce travail, nous avons choisi, comme fonction danalyse, lOndelette de Daubechies
dont lallure est assez proche du signal analyser. La capacit de la transforme en
Ondelettes, mettre en vidence des singularits dans un signal est une proprit fort bien
connue. Lapparition dune singularit pourra tre dtecte par la modification de lamplitude
des coefficients dOndelettes au travers diffrents niveaux. Un seuillage appropri permettra
de dtecter les modifications du comportement du signal. Pour la dtection et la localisation
nous avons opt pour la mthode des nergies extraites partir des coefficients.
Les coefficients de dtails et dapproximation permettent de caractriser chaque niveau
d'extraction par une nergie.
Lorganisation gnrale de la fonction surveillance introduite au chapitre I est base sur les
trois grandes fonctions voir comprendre et agir . La fonction de perception sappuie
sur les donnes acquises partir du systme surveiller et transmet loprateur des
informations plus ou moins labores. Dans ce travail, nous nous sommes focaliss sur les
composantes voir et comprendre . Nous nous intressons donc la dtection, la
localisation et au diagnostic dvnements ou dvolution imprvus.
Conclusion gnrale
187
Nous excluons de notre propos, dans un premier temps, la composante agir de la
surveillance qui conduit la reconfiguration des lois de commande, la rparation ou la mise
en uvre de stratgie de maintenance. Des tudes de cas de dfaillances sont rendues
possibles grce cet outil afin d'alimenter une base de connaissance que nous projetons de
construire. Dors et dj, nous avons tabli trois caractrisations qui constituent les premiers
lments de cette base.
Une prochaine tape qui devra tre aborde est la mise en place d'un systme expert.
Cependant, un travail approfondi doit tre men sur les tudes de dfaillances d'une manire
exhaustive. Le but est de raliser un prototype d'aide la surveillance qui prend en compte le
systme de puissance complet avec toutes les contraintes et la complexit que cela suppose.
Perspectives
Nos perspectives court terme sont les suivantes :
Concernant la simulation, il faut signaler que certains points restent perfectibles notamment
pour amliorer les temps de simulation. Nous serons amen travailler avec les mthodes
dintgration pas fixe utilisant les systmes discrtiss. Alors que les systmes continus sont
plus prcis, les simulations par les mthodes discrtes sont plus rapides.
A moyen terme, nous projetons limplmentation dun systme de surveillance en temps
rel avec intgration dun systme daide la dcision. La dmarche considrer est :
- de disposer d'une base possdant des connaissances rsumant les causes des modes de
dfaillances dans le convertisseur de puissance notamment les interrupteurs de puissance (le
court-circuit, surcharge, pic de surtension).
- de construire un guide dtaill (charte d'analyse) qui sera utilis pour aider l'investigation
de la dfaillance dans le systme lorsque l'utilisateur se trouve incapable de dterminer la
cause directement dans la base principale. Cette charte d'analyse considre notamment les
excs de temprature et le cyclage thermique.
Ces connaissances serviront pour l'laboration d'un outil d'aide la dcision voire de l'auto
dcision (auto surveillance, autodiagnostic, stratgie de maintenance). En effet, la prise de
dcision devrait s'effectuer par collaboration entre le systme de surveillance et le systme
expert.




Conclusion gnrale
188





Bibliographie

189








BIBLIOGRAPHIE












Bibliographie

190


















































Bibliographie

191

[ALLA 94] B. Allard, H. Morel, C.C. Lin, and J .P. Chante, Rules for deriving basic
semiconductor region models, PESC-IEEE Ann. Power. Electron Spec.
Conf., 1994, pp.44-51.

[ANAN 95] K. Anant, F. Dowla, G. Rodrigue, Vector Quantization of ECG wavelet
coefficients, IEEE Signal Processing Letters, vol. 2, n. 7, 1995, pp. 129-
131.

[ARLA 99] J .Arlat et K. Kanoun, Guide de la sret de fonctionnement, Edition
Cpadus 99.

[AZZO 98] Stphane Azzopardi, Contribution la caractrisation et la modlisation
de lIGBT en vue dune hybridation destine fonctionner temprature
leve pour le vhicule lectrique, thse de lENSERB- Bordeaux, Dc.
1998.

[BALI 85] B. J . Baliga, Temperature Behavior of Insulated gate transistor
characteristics, Solid-State Electronics, vol. 28, pp. 289-297, 1985

[BALI 87] B.J . Baliga, Modern Power Devices. New York: Wiley, 1987, pp.353-387.

[BALI 95] B. J . Baliga, Power semiconductor devices, PWS, 1995.

[BASS 96] M. Basseville, A. Benveniste, surveillance dinstallation industrielle,
dmarche gnrale et conception de lalgorithme, rapport de recherche
n2889 INRIA, Mai 1996.

[BENC 86] G. Benchimol, P. Levine, J .C. Pomerol, systme experts dans
lentreprise, ditions Herms, 1986.

[BENL 02] M. L. Benloucif et M. Straroswiecki, Diagnostic des dfauts par une
mthode destimation robuste, Conf. Internationale Francophone
dAutomatique, CIFA 02, Nante, France, pp.159-164.

[BENN 03] N. Bennour, Banc dexprimentation pour la gestion des flux nergtiques
transits sur les rseaux, Projet Fin d'Etudes 2003, Spec. Gnie Electrique,
Ecole Centrale de Lille, Lille- France.

[BERT 93] F. Bertha, B. Velaerts, P. Marthys, E. Tatakis, A. Wyns, D. Bogaerts, and
M. Miller, An improved power diode model for Pspice, applied to
converter simulation, in Proc. European Power Electronics Conf., 1993,
pp. 249-254.

[BLAA 96] F. Blaabjerb, F. K. Pedersen and S. Sigurjonsson, Extended model of
power losses in hard-switched IGBT inverters, Proc. Conf. Rec.-IAS Annu.
Meeting (IEEE Ind. Applicat. Soc.), vol.3, 1996, pp. 1454 1463.



Bibliographie

192
[BONA 95] T. Bonaf, S. El-Baroudi, F. Bernot, A. Berthon, IGBT model for power
electronics simulation, in Proc. Europ. Power. Electron. Conf. (EPE),
1995, pp. 1.141-1.145.

[BOUR 01] Mathilde Bourrier, Organiser la fiabilit, dition LHammarttan 2001.

[CALM 95] Francis Calmon, Participation ltude du comportement lectrothermique
des IGBT (Transistor Bipolaires Grille Isole), thse de doctorat de
lINSA de Lyon, n dordre : 95 ISAL 0055, juillet 1995.

[CHAR 02] F. Charfi, M.B. Messaoud, B. Francois, K. Al-Haddad and F. Sellami,
IGBT Modeling Based On State Graph Representation, IASTED2002,
Los Angeles-USA, May2002.

[CHAR 02_1] F. Charfi, M. Ben Messaoud, B. Francois, K. Al-Haddad, F. Sellami, Use
of state graph theory in power components modeling, Electrimacs 2002,
Montral-Canada, CD

[CHAR 02_2] F. Charfi, M.B. Messaoud, B. Francois, K. Al-Haddad, F. Sellami, Two
Novel Modeling Methodologies for IGBT Transistor, Conf.-IEEE, 28
th

Annu. Ind. Electron. Soc. (IECON), 2002, ref SF-001832, CD

[CHAT 93] J ean Noel Chatain, Diagnostic par systme expert, dition Herms 1993.

[CHEN 91] Z. Chen and T. P. Chow, An analytical IGBT model for power circuit
simulation, Proc. 3
rd
Int. symp. Power Semicond. Devices ICs ISPSD,
1991, pp. 79-82.

[CLEM 93] S. M. Clemente and D. A. Dapkus, IGBT models account for switching
and conduction losses, Power Conv. Intell. Motion, vol. 19, n. 8, pp. 51 -
54, Aug. 1993.

[CLEM 93_1] S. Clemente, Transient Thermal response of power semiconductors to
short power pulses, IEEE Trans. Power Electron., vol. 8, pp. 337- 341,
Mar. 1993.

[CORD 96] M.O. Cordier, Surveillance et diagnostic de systmes dynamiques-
approches complmentaires du traitement de signal et de lintelligence
artificielle, rapport de recherche n2861, INRIA, Avril 1996.

[DAUB 90] I. Daubechies, the wavelet transform, time-frequency localization and
signal analyzes, IEEE trans. On Information theory, vol. 36, 1990, pp.
961-1005.

[DAUB 92] I. Daubechies, Ten Lectures on Wavelet, SIAM, 1992.

[DEGO 92] Ph. Degobert and J .P. Hautier, Modles de comportement pour
interrupteur de puissance, works hop EEA, Paris, 26-27 Mars 1992.


Bibliographie

193
[DELL 00] A.Dellaquila, M.Lisierre, Fault condition analysis of an induction motor
drive supplied by a CC-PWM, ICEM 2000, Espo, FINLAND, pp1895-
1899.

[DEWA 92] S.B. Dewan, A Straughen, Power semiconductor circuits, pp 118 128,
ISBN 0-471-21180-X, Copyright by J .Wiley & Sons

[DORK 95] J .M. Dorkel, Ch. Schaeffer et E. Farjah, Modlisation des composants de
puissance, rapport final, contrat GIRPEC/GDR, J anv. 1995.

[DSPA 02] DS1103 PPC Controller Board, Catalog 2002 dspace GmbH,
www.dspace.de

[ELAN 01] Ahmed Elantably, Xiaogang Luo, Ron Martin, System Simulation of Fault
conditions in the components of the Electric drive system of an electric
vehicle or an industrial drive, Electric Vehicle symposium (EVS) 2001, pp.
1146-1150.

[ELAS 96] A. Elasser, M. J . Schutten and D. A. Torrey, Switching losses of IGBTs
under zero voltage and zero current switching, Proc. IEEE. Power
Electronics Specialist Conf. (PESC), pp. 600- 607, 1996.

[ELCH 91] T. Elch-Heb, J . P. Hautier, Remedial strategies for inverter ac motor
system at the occurrence of a transistor drive fault, in Proc. Europ. Power
.Electron. Conf. EPE, Vol. 4, Firenze-Italy 1991.

[ELWA 98] E. Elwarraki, A. Sabir, A. Aboudou, M. J ellouli, Modlisation et
simulation comportementale dun IGBT, Proc. Confrence Internationale
JTEA98, pp. 248 256.

[ERAN 91] S. Eranem, The kirk effect in LIGBT devices, IEEE Trans. On Electron
Devices, Vol 38, N 8, pp 1919-1924, Aug 1991.

[FATE 93] B. Fatemizadeh and D. Silbert, A versatile electrical model for IGBT
incluyding thermal effects, Proc. Pesc Rec. - IEEE Annu. Power Electron.
Spec. Conf., 1993, pp. 85-92.

[FATE 96] B. Fatemizadeh, G Tchouang and D. Silbert, User-optimised electro-
thermal IGBT model for power electronic circuit simulation in the circuit
simulation ELDO, Proc. IEEE APPL. Power Electron. Conf. Expo- APEC,
vol.1, 1996, pp. 81 - 87.

[FEIL 95] W. Feiler, W. Gerlach and U. Wiese, Two-dimensional analytical models
of the carrier distribution in the onstate of the IGBT, Solid State
Electron., vol. 38, n. 10, pp. 1781-1790, 1995.

[FILI 01] F. Filippetti, Electric machines diagnostics-control integration: new
proposals and related problems, Electromotion 2001, J une 2001, Bologna-
Italy, pp. 199-203.

Bibliographie

194
[FLAN 93] P. Flandrin, temps-Frquence, Herms 1993.

[FOSS 88] J .G.Fossum, Network Representations of LIGBT structures for CAD of
power integrated circuits, IEEE Trans On Electron. Devices, vol35, N 4,
pp 507-515, April 88.

[FRAN 96] B. Francois, Orthogonal Considerations in the Design of Neural Networks
for Function Approximation, Mathematics and Computers in Simulation,
Vol. 41, p.95-108, Elsevier, J uly 1996.

[FUCH 03] F. W. Fuchs, Some diagnosis methods for voltage source inverters in
variable speed drives with induction machines, IECON03, CD.

[FUSS 98] D. Fussel, R. Isserman, Model-based fault detection and diagnosis
methods, 4
th
International Conference on Probabilistic Safety Assessment
and Managment, PSAM 4, New York, USA, 1998.

[GENT 97] S.Gentil, Filtrage dalarmes en temps rel, rapport de recherche,
convention DRET, 1997.

[GERB 00] L.Gerbaud, N. Retiere; Automatic building of changeable topological
model of static converters for the electrical drive simulation, EPE97,
Trondheim, Norway, Septembre 1997, pp 2.572 - 2.577.

[GERB 97] L. Gerbaud, N. Retiere, Automatic building of changeable topological
model of static converters for the electrical drive simulation, in Proc.
Europ. Power. Electron. Conf. (EPE), 1997, Trondheim, Norway,
Septembre 1997, pp. 2.572 - 2.577.

[GOEB 92] H. Goebel and K. Hoffmann, Full dynamic power diode model including
temperature behavior for use in circuit simulators, in Proc. ISPSD Conf.,
1992, pp. 130-135.

[GUIC 00] J ean-Michel Guichon, Laurent Gerbaud, Nicolas Retiere, Alain Bolopion et
J acques Davoine, une dmarche dtude des dfauts des convertisseurs
dlectronique de puissance, Revue Internationale de Gnie Electrique,
Vol.3, n1, 2000, pp. 81-108.

[GUOG 02] He Guoguang Cao Zhitong Chen Hongping, Ewen Ritchie, Wavelet
packet method for diagnosis of rotor faults in squirrel-cage induction
motors, 15
th
International conf. on Electrical Machines (ICEM), Belgium,
2002, CD

[HAGI 96] H. Hagino, J . Yamashita and H. Haruguchi, An experimental and
numerical study on the forward biased SOA of IGBTs, IEEE Trans.
Electron. Devices, vol.43, pp. 490-499, J une 1996.

[HAUT 90] J .P. Hautier, P. Masselin, C. Roekens, Analysis method for complexes
converters applications to frequency changers, Electrimacs 90, p. 609-616,
Nancy, France, 19-21 Septembre 1990.
Bibliographie

195
[HEFN 88] A.R.Hefner, An analytical model for the study state-state and transient
characteristics of power IGBT, Solid State Electronics, Vol. 31, N10,
pp1513-1532, 88.

[HEFN 90] A.R. Hefner, Analytical modeling of device circuit interactions for the
power insulated gate bipolar transistor (IGBT), IEEE Trans. Ind.
App.,vol.26, Nov/Dec.1990, pp. 995-1005.

[HEFN 93] A.R. Hefner, Simulating the dynamic electro-thermal behaviour of power
electronic circuits and systems, IEEE Trans. Power. Electron. Vol.8, No.4,
1993, pp.376-385.

[HEFN 94] A.R. Hefner, Dynamic electro-thermal behaviour model for the IGBT,
IEEE Trans. Ind. Applicat., Vol. 30, Mar/Apr. 1994, pp. 364-405.

[HSU 96] J ia-Tzer Hsu and Khai D. T. Ngo, Behavioral modeling of the IGBT using
the hammerstein configuration, IEEE Trans. On Power Electron. Vol 11,
n 6, Nov 1996.

[KANO 01] Karima Kanoun, Rapport dactivit de recherche en Protection, LAAS-
CNRS, Mai 2001.

[KAZI 95] M. K. Kazimierczuk, D. Czarkowski, Resonant Power Converter, John
Wiley Sons, 1995.

[KIM 02] Kyungsung Kim, Alexander G Parlos, Induction Motor fault diagnosis
based on neuropredictors and wavelet signal processing, IEEE/ASME
Trans. Mec, vol.7,no. 2, J une 2002.

[KIM 93] H.s. Kim, Y. H. Cho, S. D. Kim, Parameter extraction for the static and
dynamic model of IGBT, Tech. Rep., 1993

[KRAU 93] R. Kraus and K. Hoffmann, Analytical model of IGBTs with low emitter
efficiency, Proc. INT. Symp. Power Semicond. Devices ICs, 1993, pp.30-
34.

[KUO 85] D. S. Kuo, C.Hu and S. P. Sapp, An analytical model for power Bipolar-
MOS transistor, Solid State Electron, vol. 29, n 12, pp. 353-387, 1985.

[LAUT 98] Ph. Lautier, Modlisation des convertisseurs dcoupage pour la
conception et la commande : application a l'onduleur, Doctorat Jan 98,
INSA, Lyon.

[LEFE 94] S. Lefebvre, Contribution la caractrisation de lIGBT en commutation
Zro de courant, thse ENS Cachan, 1994.

[LEON 85] W. Leonard, Control of electrical drives, Springer-Verlag, Berlin 1985.

[LESE 02] S. Lesecq, Diagnostic et Ondelettes : Application la dtection darcs
lectriques, Conf. Internationale Francophone dAutomatique, CIFA 02,
Bibliographie

196
Nante, France, pp.465-470.
[LI 00] Xiaoli Li, Shiu Kit Tso, J un Wang, Real time tool condition monitoring
using wavelet transforms and fuzzy techniques, IEEE Trans. on System,
Man and Cybernetic, Part C, vol.30, n3, 2000.

[LIAN 90] Yung-CHII Liang, Victor J . Gosbel, Diode Forward and Reverse Recovery
Model for Power Electronic SPICE Simulations, IEEE, trans. On Power
Electron., vol.5, n3, J ul 1990.

[LIMN 92] N. Limnios, Arbre de dfaillance, Herms, Paris, 1992.

[LOAN 01] M.G. Loannides, F.G. Loannidou, A Knowledge Based System for
Induction Motor Drives Design, Electromotion 2001, J une 2001, Bologna-
Italy, pp. 199-203.

[MA 93] Cliff L. Ma and P. O. Lauritzen, A simple power diode model with
forward and reverse recovery, IEEE Trans. Power. Electron. Vol. 8, n 4,
1993.

[MAQU 00] Didier Maquin et J os Ragot, Diagnostic des systmes linaires, dition
Herms 2000.

[MATT 89] D. Matt, C. Glaize, Numerical simulation of static converters interest of a
mathematical formulation with variable topology, IMAC, proc. Vol2, pp
603- 608 Nancy 1989.

[MATT 94] D. Matt, F. Prieur, C. Glaize, Simulation numrique en lectronique de
puissance, mthode de la topologie variable, J . Phys. III France, pp 55-73,
1994 J anuary.

[MAXI 98] A. Maxim, D. Andreu, J . Boucher, High accuracy SPICE behavioral
modeling of the IGBT, in Proc. Conf. -IEEE Applicat. Power Electron.
Expo APEC, 1998, pp.749-755.

[METZ 94] D. Metzner, T. Vogler and D. Schroeder, Modular concept for the circuit
simulation of bipolar power semiconductors, IEEE Trans. Power Electron,
vol. 9, pp. 506 513, Sept 1994.

[MEYE 92] Y. Meyer, Algorithmes et applications, Armand Colin, Paris, 1992.

[MIHA 95] f. Mihalic, K. J ezernik, D. Krischan and M. Rentmeister, IGBT Spice
model, IEEE Trans. Ind. Electron., vol. 42, pp. 98-105, Feb 1995.

[MORE 94] H. Morel, Modlisation et simulation des composant et des systmes
lectronique de puissance, 1994, mmoire dhabilitation diriger les
recherches, INSA de Lyon.

[NAPO 97] E. Napoli, A. G. M. Strollo and Spirito, two-dimensional modeling of on
state voltage drop in IGBT, Proc. Int. Conf. Microelectron. Vol. 2, 1997,
pp. 505-508.
Bibliographie

197
[PAWE 97] W. Pawelski, A.Napieralski, The IGBT Model optimised for the circuit
analysis and design, EPE 97, Trondheim, Norway, Septembre 1997, pp.
4.139 - 4.144.

[PETE 00] I.Peter, A.Pretschner and T.Stauner, Heterogeneous development of hybrid
systems", Proc. GI workshop Rigorose Entwicklung Softaware-Intensiver
System, Berlin, August 2000.

[PROF 99] Fransesco Profumo, Alberto Tenconi and Bruno Passerini, Instantaneous
J unction temperature evaluation of high power diodes (thyristors) during
current transients, IEEE Trans. Power. Electron. , vol. 14, n. 2, 1999.

[PSB 94] User guide Power System Blockset, Mathworks 94.

[RETI 97] N. Retiere, L. Gerbaud, Modeling and simulation of induction motor drive
under inverter fault operations, in Proc. Europ. Power. Electron. Conf.
(EPE), 1997, pp 2.749-2.754.

[RIPO 99] Patrick Ripoll, conception dun systme de diagnostic flou appliqu au
moteur automobile, Thse Dc 99, Universit de Savoie.

[SABE 96] Saber Manual, New York Analogy, Inc., 1996.

[SALA 01] S. Salama, Simulation of power electronics systems using Simunet: the
new power electronics toolbox for Simulink Matlab, EPE2001- Graz.

[SANC 98] J -L Sanchez, H.Foch, Intgration en lectronique de puissance, GDR
n1182, Rencontre du 12 mars 1998.

[SERG 03] Kharitonov Serguei, Brovanov Serguei, A wavelet method for signal
analysis of the power electronics circuits, Proceedings of the 7
th
kora
Russia International Symposium, Korus 2003.

[SHEN 00] Kuang sheng, Barry W. Williams, and Stephan J . Finney, A review of
IGBT models, IEEE Trans. On Power Elec., vol.15, n 6, 2000, pp.1250 -
1266.

[SHEN 00_1] Kuang Sheng, Stephen J . Finney, and Barry W. Williams, Thermal
stability of IGBT High- Frequency operation, IEEE Trans. Ind. Electron.,
vol.47, n 1, pp. 9-16, Feb.2000.

[SHEN 96] K. Sheng, S. J . Fenny and B. W. Williams, Fast and accurate IGBT model
for PSpice, Electron. Lett., vol32, n.25, pp. 2294-2295, Dec.5, 1996.

[SHEN 99] Kuang Sheng, Stephen J . Finney, and Barry W. Williams, A New
Analytical IGBT Model with Improved Electrical Characteristics, IEEE
Trans. On Power Electronics, vol. 14, no. 1, J an.99

[STAT 94] Stateflowsuser's guide. The Math Works Inc., 1994.

Bibliographie

198
[STRA 96] G. Strang, T.Nguyen, Wavelets and Filter Banks, Wellesley-Cambridge
Press, 1996.

[STRO 97] A. G. M. Strollo, new IGBT circuit model for SPICE Simulation , Proc.
Pesc-IEEE Annu. Power Electron. Spec. Conf., vol. 1, 1997, pp. 133-138.

[SZE 81] S. M. Sze, Physic of semiconductor Devices, John Wiley Sons, 2
nd

Edition, 1981.

[TAN 99] Cher Ming Tan, and King-J et Tseng, Using power diode models for circuit
simulations- a comprehensive review, IEEE Trans. Power. Electron, Vol.
46, n 3, J une 1999.

[TERR 99] F. Terrien, M.F. Benkhoris and R. Le Doeuff An approach for simulation
of power electronics systems using Matlab/ Simulink, Electrimacs 99,
Lisboa, Portugal, pp 201-206.

[THOM 02] Marc Thomas, Fiabilit, maintenance prdictive et vibration des
machines, universit du Qubec, Ecole de Technologie suprieure, 2002.

[THOR 95] O.V. Thorsen, M.Dalva, A survey of the reliability with an analysis of
faults on variable frequency drives in industry, EPE 95, Seville, Espagne,
pp.1.033-1.038.

[TRIV 96] M. Trivedi, Application-Specific Performance Evaluation of Power
Semiconductor Devices, Master of Science, Universit de Wisconsin-
Madison, 1996.

[TRIV 99] Malay Trivedi, Krishna Shenai, Failure Mechanisms of IGBTs Under
Short Circuit and Clamped Inductive Switching Stress, IEEE, Trans. On
Power Electron., Vol.14, n1, J an. 1999.

[TRUC 98] F.Truchetet, Ondelettes pour le signal numrique, Herms, 1998.

[TZOU 93] Y.Y. Tzou and L.J . Hsu, Practical Spice macro model for the IGBT,
IECEON Proc. (Ind. Electron. Conf.), vol. 2, 1993, pp. 762-231.

[UDRE 95] F. Udrea and G. A0 J . Amaratunga, A unified analytical model for carrier
dynamics in trench insulated gate bipolar transistor (TIGBT), Proc. IEEE
Int.Symp. Power Semiconductor Devices ICs (ISPSD), 1995, pp. 190-200.

[VILL 88] A. Villemeur, Sret de fonctionnement des systmes industriel, Eyrolles
Editions, 1988.

[WENG 88] W. D. Weng, Surveillance du comportement dynamique des processus
industriels, thse de lUniversit de Rennes I, 1988.

[WONG 97] Chuck Wong, EMPT Modeling Of IGBT Dynamic Performance for Power
Dissipation Estimation, IEEE Trans. On Industry Appl., VOL. 33, n1,
J an/Feb 1997.
Bibliographie

199
[ZHAN 95] L. Zhang, I.B. Aris, L.N. Hulley, A knowledge-Based system for on-line
fault diagnosis of power inverter circuits for AC machine drive, EPE 95,
Seville -Espagne, pp.3.334 - 3.339
[ZWIN 95] Gilles Zwinglstein, Diagnostic des dfaillances, thorie et pratique pour
les systmes industriels, ditions Herms1995.













































Bibliographie

200

Publications lies au travail de thse


[1] "Etude des rgimes dgrads dans les systmes lectroniques de puissance embarqus
dans un vhicule lectrique"
2001 Canadian Conference on Electrical and computer Engineering CCECE 2001.

[2] "Simulation method of analysing degraded modes in the embarked power electronic
system in the electric vehicle"
The International Conference On Industrial Electronics, Technology And Automation
IETA2001.

[3] "IGBT Modeling Based on State graph representation"
IASTED International Conference On Modelling and Simulation (MS 2002), Los-Angeles-
USA, May2002.

[4] "Utilisation des graphes d'tats dans la modlisation des composants de puissance"
7th International Conference on Modeling and Simulation of Electric Machines, Converters
and Systems (ELECTRIMACS 2002), Montral-Canada, CD.

[5] "IGBT ADVANCED MODEL USED ON DEGRADED MODE ANALYSIS
IEEE "Systems, Man and Cybernetics" 2002, Oct 2002, Hammamet Tunisie, CD.

[6] "TWO NOVEL MODELING METHODOLOGIES FOR IGBT "The 28
th
Annual
Conference of the IEEE Industrial Electronics Society IECON 2002, Conf.-IEEE, 28
th
Annu.
Ind. Electron. Soc. (IECON), Oct 2002, ref SF-001832, CD.

[7] "POWER SYSTEM FAULT MONITORING USING WAVELET TRANSFORM",
PESC-IEEE Ann. Power. Electron. Spec. Conf., Auchen-Allemagne, June 2004, CD.

[8] "Overview on Dependable Embedded Systems in Modern Automotive",
2004 IEEE International Conference on Industrial technology, IEEE-ICIT 04, Dc 2004.

[9] "ANALYSIS AND IDENTIFICATION OF EMBEDDED POWER SYSTEM FAULTS
USING WAVELET TRANSFORM"
The 21
th
Worldwide Battery, Hybrid and Fuel Cell Electric Vehicle Symposium EVS 21, April
2005, Monaco (accept).

Avec deux articles soumis au J ournal Canadien de Gnie Electrique et aux Annales
Maghrbines de lENIT.


Annexes
201










ANNEXES















Annexes
202


















































Annexes
203
ANNEXE 1 : Revue sur les diffrents Modles d IGBT

Auteur(s) / papier(s) Anne Type Comp
lexit
Commentaire Simulateur
Baliga 1985,
1987
Math. 4
PNP command par un
MOSFET pour caractriser le
rgime statique. Analyse du
courant de tranage.

Kuo et al. 1985,
1986
Math. 4
tension statique (Vf) est
approxime par un modle
unidimensionnel, le taux de
dcroissance du courant de
tranage est calcul.

Hefner 1988-
1990
Math. 4
Modle unidimensionnel pour
les caractristiques statiques et
unidimensionnelles, bonne
prcision en transitoire.
Saber, PSice,
etc.
Fossum et al. 1988 Math. 3
pour LIGBT, modle faible
puissance.
Spice
Shen et chow 1991,
1993
S-Math. 2
Une combinaison simple des
modles BJ T et MOSFET de
Spice avec une approche piece-
wise pour les capacits non
linaires (C
gs
, C
gd
)
Spice
Kraus et Hoffmann 1993 Math. 4
rsolution bidimensionnelle des
quations des porteurs statique,
le comportement transitoire des
porteurs, pour les NPT-IGBT
seulement, une dure de vie
dans la base est suppose
infinie.
Saber
Fatemizadeh et al. 1993,
1996
Math. 5
Expression complexe pour DC
caractristiques, la dynamique
des porteurs est approxime par
la mthode de Galerkin
PSpice
Tzou et Hsu 1993 Comp. 2
La mthode curve-fitting est
utilise pour comportement
non- linaire.
Spice
Metzner 1993,
1994
S-Num. 4
Discrtisation de la rgion de la
base pour lobtention dun
comportement prcis de
porteurs.
Saber
Kvien et al. 1993 S- Math. 2
Non explicite, incomplet Krean
Hefner et Blackburn 1993 Math. 4
Comportement lectro-
thermique dynamique est inclus

Saber
Clement et Dapkus 1993 Comp. 1
Mthode curve-fitting est
utilise uniquement pour
calculer les pertes en
commutation. le comportement
statique et dynamique nest pas
pris en compte


Kim et al. 1993 S- Math. 2
Simple combinaison des
modles du BJT et du
MOSFET de Spice, la capacit
C
gd
est approxime
polynomialement.


Annexes
204
Andersson et al. 1993 S- Math. 3
combine le BJ T et les modles
modifis de Spice, la capacit
C
gd
et Cds ont t modliss
hypoboliquement, mais les
rsultats en transitoire ne sont
pas fournit.

Li et al. 1993 Math. 3
La distribution linaire des
porteurs est considre.

Hefner 1993 Math. 4
Extension de son modle au
PT-IGBT
Saber
Kuo et Chiang 1994 Math. 4
Ddi pour les transitoires
pendant la fermeture
uniquement, modle incomplet.

Goebel 1994 S-Num. 5
Combine les mthodes
numriques unidimensionnelles
et analytiques, inconvnient
difficile implmenter dans un
simulateur normal.
Saber
Kuzmin et al. 1994 Math. 4
Analyse du PT-IGBT,
modliser par des rsistances
diffrentielles ngatives

Kovac et al. 1994 Comp. 2
Mthode piece-wise pour la
modlisation de C
gd
.
PSpice
Allard et al. 1994 Math. 4
Modlisation systmatique
base sur la mthode de bond-
graph, implmentation
complexe, prcision des
rsultats.
Pacte
Besbes 1995 Math. 4
Utilisation de la mthode de
bond graph, similaire [36].

Spanik et al. 1995 Math. 4
les quations du modle
dHefner sont rutilises, le
modle de la diode antiparallle
est inclus.

Mihalic et al. 1995 S-Math. 3
Modle de MOSFET modifi
utilis pour les caractristiques
statiques, capacit non linaire
modlis par piece-wise .

Alonso et al. 1995 S-Math. 3
Modle simple du
comportement en court-cicuit

Wong 1995,
1997
Comp. 1
Mthode curve-fitting pour
les caractristiques statique et
dynamique, pour lestimation
des pertes lectromagntiques,
modle non adquat pour la
simulation comportementale du
composant.
EMTP
Udrea et al. 1995,
1997
Math. 4
Leffet de la diode PiN sur les
caractristiques statiques du
TIGBT est modlis par une
combinaison de PIN-BJ T en
srie avec le MOSFET.

Feiler et al. 1995 Math. 5
La distribution
bidimensionnelle des porteurs
ltat passant est calcule pour
le DIGBT, modle trs
compliqu pour la simulation
des circuits, adquat pour les
fabricants de composant.

Annexes
205
Kawaguchi et al. 1995 S-Math. 2
Ddi pour LIGBT Spice
Petrie et al. 1995 S-Math. 2
Configuration BJ T-MOSFET,
modlisation de la capacit non
linaire C
gd
.
Spice
Hsu et Ngo 1996 Comp. 3
La mthode dHammerstein est
utilise, la capacit non linaire
C
gd
est modlise.
Saber
Musumeci et al. 1996 S-Math. 2
Modle avec sous circuit pour
le PT-IGBT, une source de
courant est utilise pour la
modlisation de la dpendance
tension courant de tranage.
PSpice
Blaabjerg et al. 1996 Comp. 2
Estimation des pertes par
approximation des fonctions de
puissance.

Sheng et al. 1996 S-Math. 1
Structure simple avec un BJ T,
MOSFET et une source de
courant contrl pour la
capacit C
gd
.
PSpice
Monti 1996 Comp. 2
approche base sur la logique
floue, les capacits non linaire
C
gd
, C
gs
et C
ds
sont modlise
par la mthode piece-wise .
Matlab
Zhang et al. 1996 S-Math. 2
Combinaison BJ T-MOSFET,
les capacits non linaires ne
sont pas modlises, modle
non prcis pour la simulation
des transitoires.
HSpice
Amimi et al. 1996 S-Math. 3
Combinaison BJ T-MOSFET
sans les capacits non linaires,
modlisation des effets
lectrothermiques dynamiques.

Sheng et al. 1997 Math. 5
Effet bidimensionnel sur les
caractristiques statiques est
modlis analytiquement pour
le D-IGBT.
PSpice
Strollo 1997 Math. 4
Lquation de transport des
porteurs est implmente par la
transformation de Laplace
PSpice
Napoli et al. 1997 Math. 5
La distribution
bidimensionnelle des porteurs
ltat passant est modlise
analytiquement pour le DIGBT,
dure de vie finie des porteurs
est considre, convenable pour
les fabricants de composant.

Sigg et al. 1997 Math.
modle complexe pour une
distribution de porteur
dynamique et un comportement
lectrothermique dynamique.
Saber
Ammous et al. 1998 S- Math.
Modlisation thermique. Pacte

Tab.1 Revue sur les diffrents modles d IGBT daprs [SHEN 00]


Annexes
206
ANNEXE 2 : Revue sur les diffrents Modles de diodes

Auteur(s) / papier(s) Anne Type de
diode
Nbre
de
param.
commentaire Simulateur
Modles analytiques
Liang 1990 p+n/n+ 7
Transitoire,
condition rampe I
rm
< I
f
Pspice
Lauritzem 1991 p-i-n 5
Transitoire, uniquement
recouvrement inverse.
Saber
J in 1991 p-i-n,
p-v-n
4
Transitoire,
uniquement recouvrement
direct.
Saber
Kraus 1992 p-i-n,
p-v-n
17
Transitoire, DC, effet dauto
chauffement
Saber
Ma 1993 p-i-n 9
Transitoire, DC,
condition rampe I
rm
< I
f

Saber
Ma 1993 p-i-n,
p-v-n
6
Transitoire, DC,
condition rampe I
rm
< I
f

Saber
Yang 1994 p+n/n+ 7
Transitoire,
Uniquement recouvrement
inverse.
Saber
Tseng 1994 p-i-n,
p-v-n
6
Transitoire, DC,

Pspice, Saber
Analogy 1995 p-i-n,
p-v-n
59
Transitoire, DC, effet dauto
chauffement
Saber
Strollo 1996 p-i-n,
p-v-n
20
Transitoire, DC,

Pspice
Ma 1997 p-i-n,
p-v-n
8
Transitoire, DC,

Saber
Tseng 1997 p-i-n,
p-v-n
8
Transitoire, Pspice, Saber
Modles numriques
Volger 1992 p-i-n,
p-v-n
26
Transitoire, DC,

Saber
Winternheimer

1992 p+n/n+ 6
Transitoire,
Goebel 1992 p-i-n,
p-v-n
11
Transitoire, DC,

Saber
Modle empirique
Bertha 1993 p+n/n+
p-i-n,
p-v-n
18
Transitoire, DC,

Pspice,

Tab. 2 Rsum des diffrents modles de diodes de puissance daprs [TAN 99]


Annexes
207

ANNEXE 3 : Analyse structurelle de l IGBT

LIGBT (Insulated Gate Bipolar Transistor) est un composant de puissance intgrant dans une
mme structure un transistor MOS et un transistor bipolaire. Leur association permet
lIGBT dallier les avantages de ces deux lments en terme de bipolarit et de modulation de
la conductivit. Depuis sa cration, au dbut des annes 80, les progrs technologiques
apports ont fait de l IGBT un composant clbre, prsent dans diverses applications
notamment le vhicule lectrique.
Lanalyse de la structure de l IGBT fait apparatre, daprs la disposition des couches, une
similitude avec la structure classique dun VDMOS (Vertically Diffused MOS) except le
substrat qui est de type P+pour l IGBT. En effet, les deux dispositifs ont la mme structure
de grille et le contact de la source (cathode pour l IGBT) se fait aussi bien sur le caisson n ++
(source) que sur le puits (buffer) P, le niveau de dopage et les dimensions de la couche
pitaxie sont choisis pour supporter les fortes tensions en mode de blocage direct. La
prsence de deux niveaux de dopage dans le puits P a pour but dassurer la fois une tension
de seuil raisonnable (P faible au niveau du canal) et une faible rsistivit afin dviter le
dclenchement du bipolaire n
++
PN
-
parasite (P fortement dop dans le volume) mont en
thyristor avec le transistor PNP Fig.1.

Fig.1 Coupe de la structure dun IGBT

Dans les IGBTs modernes cette rsistance R
p
, qui correspond au puits P situ en dessous de
la couche n
++
, est rendue suffisamment faible pour inhiber le dclenchement du thyristor. La
prsence du substrat P+permet linjection de porteurs minoritaires dans la couche pitaxie,
ce qui induit une modulation de sa conductivit et par consquent rduit la rsistance de la
Annexes
208
couche pitaxie R
n,
Fig.2. Sa valeur est rendue trs faible compare celle du VDMOS de
mme calibre. R
n
ne constitue plus quune rsistance daccs la base du transistor PNP.


Fig.2 Circuit quivalent de l IGBT

Compte tenu des simplifications technologiques prcites, le schma quivalent dun IGBT se
rduit alors un transistor bipolaire command par un MOSFET dans une configuration
pseudo-darlington Fig.3.

Fig 3 Schma lectrique quivalent simplifi

Diffrentes technologies rsultent en diffrentes structures et diffrents comportements. On
distingue deux concepts pour l IGBT structure verticale PT et NPT. Les PT IGBT existent
dans les valeurs de tensions suivantes 500v, 600v, 1,2kv et 2,5 kv et plus tandis que les NPT
IGBT existent 600v, 1kv, 1,2kv et 2,5 kv.
La structure dIGBT (PT ou NPT) nest pas considre dans les modles comportementaux.
Deux structures existent pour la grille : structure planar (DIGBT ou DMOS IGBT) et la
structure trench IGBT.
Annexes
209

ANNEXE 4 : Prsentation du logiciel de simulation des structures

Lobjet de la simulation des structures en lectronique de puissance est de prvoir, avec une
prcision suffisante, les phnomnes susceptibles dapparatre pendant les phases de
commutation des composants semi-conducteur. Cependant, les tudes menes de faon
globale sintressent uniquement aux interrupteurs (composants actifs) dans son
environnement systme et montrent que ceux-ci sont reprsents gnralement soit par des
rsistances binaires (Ron/Roff) pour les tous premiers modles, soit par des composants
parfaits (court-circuit, circuit ouvert) ou quasi parfaits. Ces deux types de reprsentation sont
dicts respectivement par les deux mthodes topologie fixe et topologie variable.
La mthode topologie fixe prsente une simplicit de mise en uvre sur le plan de lanalyse
des circuits mais elle donne lieu un calcul dlicat, pour certaines valeurs des rsistances
binaires, des quations dtat.
La mthode topologie variable : les principes de celle-ci reviennent aux annes 70. Elle a
fait lobjet de nombreuses publications, mais elle na jamais connu de succs cause des
difficults lies llimination des semi conducteurs du circuit. Cest partir des annes 90-
92 [MATT 89], [MATT 94], certains travaux de recherche ont permis de prsenter une
mthodologie de mise en quation entirement automatique sappuyant sur lanalyse
topologique du circuit. Ainsi, cest au tour de cette mthodologie que nous allons essayer
dtablir des modles comportementaux des composants actifs.
I- Mthode de simulation topologie fixe
I-1 Principe de la mthode

Dans la simulation topologie fixe, les semi-conducteurs sont caractriss par des rsistances
binaires Ron/Roff selon leurs tats. Lexemple de simulation dun hacheur montre ceci sur la
figure 1.

Fig.1 Circuit quivalent en topologie fixe
Annexes
210

Au cours de cette simulation le graphe du circuit est fixe amenant un systme dquation
unique, seuls quelques coefficients changent en fonction des tats des semi-conducteurs
(commutation). Le systme dquations ne change quaprs une nouvelle structure.
I-2 Avantages et inconvnients

Malgr son avantage apprciable de simplicit, cette mthode peut entraner des carts par
rapport la ralit ou des difficults dinterprtation. Cependant, pour quil y ait une bonne
stabilit des mthodes dintgration et une bonne prcision sur les calculs matriciels il faut
que le rapport Ron/Roff ne soit pas lev. Mais une bonne reprsentation des tats bloqu et
passant des semi-conducteurs justifierait un rapport lev [MATT 94]. De plus, cette mthode
doit tenir compte tout instant du circuit complet alors que trs souvent seule une partie de ce
circuit est active et par la suite des calculs inutiles pourraient tre vits.
II- Mthode de simulation topologie variable
II-1 Principe de la mthode

Celle-ci se base sur la reprsentation des semi-conducteurs par des circuits ouverts ou par des
courts-circuits (interrupteurs parfaits). Durant chaque phase de fonctionnement de la structure
tudie nous pouvons liminer les interrupteurs en fusionnant les nuds du circuit relatif aux
interrupteurs ferms et en supprimant les branches formes par ceux ouverts. Aprs
limination des semi-conducteurs un certain nombre de branches du circuit se trouvent en lair
ou court-circuites. Il est donc possible de supprimer et de simplifier ainsi le circuit sur lequel
seront effectus les calculs (circuit rduit). Aprs cette tape de simplification topologique le
circuit se trouve tre rduit, il sen suit une la rduction du systme dquations [MATT 94].
La figure.2 dcrit lvolution de la topologie dun circuit hacheur.

Fig.2 Schma quivalent en topologie variable
Annexes
211
II-2 Avantages et inconvnients

Lavantage apprciable de cette mthode rside dans le fait que tous les composants inutiles
pendant une phase de fonctionnement, aussi bien les semi-conducteurs que les composants
passifs, sont limins de la simulation. Il en rsulte, une limination des constantes de temps
parasites (erreurs dynamiques), ainsi la mthode dintgration et le pas de calcul peuvent
tre choisie avec une grande souplesse. Bien entendu, le volume global de calcul entre chaque
commutation peut tre notablement diminu, le graphe rduit peut savrer extrmement
simple. Lventuelle limination des condensateurs ou dinductances entrane, de plus, la
rduction de lordre du systme dquations dtat. Lensemble conduit une rduction
notable des dures de simulation.
Toute fois cette mthode est peu employe cause de la difficult de rcupration des
variables tensions et courants aux bornes des semi-conducteurs. Ce problme est rsolu
mathmatiquement [MATT 89]. De plus certaines caractristiques des semi-conducteurs tel
que la chute de tension aux bornes des composants et les courants de fuites ne peuvent tre
reprsentes. La simulation de ces imperfections, si ncessaire, est rsolue par lutilisation des
lments supplmentaires (rsistance en srie ou en parallle, source de tension ou de
courant).
III- Mise en uvre de la topologie variable dans le logiciel Matlab
III-1 Principe de simulation des interrupteurs

Le circuit est construit partir de blocs lectriques de power_lib. A chaque fois quon lance la
simulation un mcanisme dinitialisation est appel.
Ce processus dinitialisation calcule le modle vecteur dtat du circuit lectrique et construit
le systme quivalent simulable par Simulink. En effet, la fonction power2sys, faisant partie
du processus, reoit le modle vecteur dtat et construit le modle de Simulink du circuit.
Si le modle dinterrupteur contient une inductance srie, cas de la diode ou lIGBT,
linterrupteur est simul comme une source de courant commande par une tension travers
ses terminaux.
Le bloc non linaire, avec une entre en tension et une sortie en courant, est ainsi connect en
boucle de retour sur le circuit linaire comme le montre la figure 3.
Annexes
212

Fig.3 Interconnexion entre les modles circuit linaire et non linaire

Cependant, si linterrupteur est purement rsistif le modle dinterrupteur est considr
comme partie intgrante du circuit non linaire. Le modle vecteur dtat du circuit, incluant
le circuit ouvert et le circuit ferm de linterrupteur, est alors recalcul chaque commutation
produisant un changement dans la topologie du circuit. Cette mthode est souvent utilise
pour linterrupteur idal.
Dune manire gnrale, lutilisation dune inductance interne dans le modle interrupteur
aide la commutation. En considrant, par exemple le pont redresseur IGBT aliment par
une source infinie (impdance zro), la simulation du circuit sans linductance interne
(Lon=0) rsulte en des pointes de courant. En effet, durant la commutation les deux IGBT
connects la mme borne positive ou ngative du pont sont en conduction pour une courte
priode de temps, appliquant ainsi un court-circuit sur la source.
Durant la commutation, le courant est limit seulement par la rsistance interne. Ces courts-
circuits peuvent tre vit en utilisant une faible inductance Lon de lordre du micro-Henry
dans le modle dinterrupteur. Ainsi la commutation nest plus instantane. Le temps de
commutation dpendra de la valeur de Lon et du courant direct.
III-2 Organigramme gnral du programme Matlab

Lorsque la fonction power2sys est appele par le processus dinitialisation, elle effectue les
tapes suivantes Fig.4 :
- classe tous les blocs contenus dans le systme en deux catgories : les blocs de
Simulink et les blocs Sim Power System (SPS). Ensuite, elle reoit les
paramtres des blocs et value la topologie du circuit. Le circuit SPS est
Annexes
213
compos dun bloc linaire et un bloc non linaire. De plus chaque nud du
circuit lectrique lui est attribu automatiquement un numro.
- Une fois la topologie est tablie le modle du vecteur dtat de la partie linaire
du circuit est calcul par la fonction circ2ss. Tous les calculs des rgimes
permanents ainsi que les initialisations sont effectus ce stade.
- Pour le choix du mode discret, le vecteur dtat est calcul partir du modle
continu du vecteur dtat utilisant la mthode de Tustin.
- Power2sys construit le modle Simulink du circuit et lenregistre dans lun des
blocs de mesure. Do la ncessit dutiliser au moins un bloc de mesure (bloc
de mesure de courant, bloc de mesure de tension ou un bloc multimtre).
Linterconnexion entre le circuit quivalent et les blocs de mesure est ralise
par des liens cachs utilisant les blocs goto et from .

Annexes
214


Fig.4 Organigramme de Sim Power System











Annexes
215
ANNEXE 5 : Modlisation de la machine asynchrone

Plusieurs modles de machine asynchrone ont t proposs dans la littrature. Ils sont
gnralement fournis dans les axes dq considrant une alimentation sinusodale quilibre.
Le modle propos par la bibliothque de Sim Power Sim est un exemple du modle classique
de park de la machine asynchrone
Toutefois, ce modle dq conventionnel de la machine asynchrone ne peut tre utilis pour
ltude des variateurs de vitesse en rgimes transitoires car il est tabli en supposant un
entrefer constant, une rpartition spatiale sinusodale du flux dans lentrefer, une
proportionnalit des flux au courant et un systme dalimentation triphas quilibr.
Pour ltude des rgimes de fonctionnement dgrads nous proposons de modliser la
machine asynchrone en utilisant les vecteurs complexes courants, tensions et flux. Ce modle
est bien suprieur au modle dq conventionnel car il peut simuler, pour diffrents scnarios
de dfauts dans la machine ou londuleur, les modes de fonctionnement anormal.
On dfinit les vecteurs complexes suivants :
- Vecteur complexe courant,
( ) | |
(
(
(

= + + =
cs
bs
as
cs bs as s
i
i
i
a a i a i a i i . 1
3
2
3
2
2 2

( ) | |
(
(
(

= + + =
cr
br
ar
cr br ar r
i
i
i
a a i a i a i i . 1
3
2
3
2
2 2

- Vecteur complexe tension,
( ) | |
(
(
(

= + + =
cs
bs
as
cs bs as s
v
v
v
a a v a v a v v . 1
3
2
3
2
2 2

( ) | |
(
(
(

= + + =
cr
br
ar
cr br ar r
v
v
v
a a v a v a v v . 1
3
2
3
2
2 2

- Vecteur complexe flux,
( ) | |
(
(
(

= + + =
cs
bs
as
cs bs as
s
a a a a
|
|
|
| | | | . 1
3
2
3
2
2 2

Annexes
216
( ) | |
(
(
(

= + + =
cr
br
ar
cr br ar
r
a a a a
|
|
|
| | | | . 1
3
2
3
2
2 2

avec
( )
3
2
t
j
e a = ;

I- Equations lectrocintiques

Les quations matricielles suivantes expriment les tensions sur les diffrents enroulements :
| | | |
| |
dt
d
i R v
s
s s s
|
+ = et | | | |
| |
dt
d
i R v
r
r r r
|
+ =
peuvent tre ramenes aux quations complexes :
dt
d
i R v
s
s s s
|
+ = et
dt
d
i R v
r
r r r
|
+ =
Les flux complexes rotorique et statorique peuvent scrire :
r
j
s s
s
i e M i L
e
u
|

+ =
r
j
r r
r
i e M i L
e
u
|

+ =
ce qui donne sous forme matricielle:
(
(


=
(
(

r
s
r
j
j
s
r
s
i
i
L e M
e M L
c
c
u
u
|
|

o Ls, Lr et M reprsentent les inductances cycliques dfinies par les expressions suivantes:
s ps s
M L L =
r pr r
M L L =
0
2
3
M M =
Le couple lectromagntique peut tre exprimer par :
( ) i i j p C
s s e
* *
.
4
3
| | =
La vitesse
Elle est solution de lquation fondamentale de la dynamique
r e
C C
dt
d
J =
O

avec J : moment dinertie Cr: couple rsistant et le frottement est nglig.
Le coefficient de dispersion de Blondel est dfini par la relation
s r
L L
M
.
1
2
= o ;
Ces quations ont t implmentes dans sous Simulink/Matlab permettant deffectuer
diffrentes simulations Fig.1.
Annexes
217


Fig.1 Modle de simulation de la machine asynchrone

II- Identification exprimentale des paramtres de la machine

Lobjectif de cette partie concerne la caractrisation des paramtres de la machine asynchrone
utilise dans les essais exprimentaux mens sur le systme de puissance. Ces essais ont t
raliss au laboratoire L2EP de lEcole Centrale de Lille avec une machine
asynchrone LEROY SOMER de 1.8 kW dont la plaque signaltique est la suivante :
Cos u=0.76,
Pn=1.8 Kw,
Vn=1460tr/mn,
AV=220V
AI=7.8A
V=380V,
I=4.5A.
En rgime permanent et sous une alimentation triphase sinusodale, la machine asynchrone
peut tre reprsente par le schma ci-dessous Fig.2.


p
Rr
Rs
1/J
Intgrateur
Intgrateur
Intgrateur
m
u
r
C
e
u
Intgrateur
|
.
|

\
|
is i j p
s s s
. . .
4
3
* *
| |
s
i
s
|
r
|
r
i
s
v
r
v
e
C
O
r
j
s
s
r
r
j
r
r
s
L
e
L
M
L
e
L
M
e
e
.
.
.
.
o
| |
o
| |
u
u

Annexes
218


Fig.2 Schma lectrique monophas quivalent de la MAS

Essai vide
Des essais vide ont t effectus sur la machine asynchrone afin de dterminer la rsistance
statorique Rs, la rsistance magntisante R (mutuelle rsistance), linductance magntisante
L (mutuelle inductance) et linductance statorique Ls.
La machine asynchrone est couple en toile. On utilise la mthode de deux wattmtres pour
mesurer la puissance active absorbe par la machine asynchrone. Une machine courant
continu MCC est accouple la MAS. A vide, lorsque la MCC napplique aucun couple
extrieur, la vitesse de la MAS est proche du synchronisme qui correspond un glissement
quasi nul.
La figure 3 montre le schma de cblage pour la mesure de la rsistance des enroulements
statoriques.

Fig.3 Schma de montage pour mesure de la rsistance des enroulements statorique

Pour la dtermination de la rsistance statorique Rs, on fixe le courant sa valeur nominale
I
0
=4.5A et on prlve la tension correspondante. Nous dduisons alors O = 02 . 3 Rs .
Ensuite, on alimente progressivement la MAS sous une tension de 380 V. La puissance active
vide P, la puissance ractive vide Q, le courant vide I
0
et la vitesse O sont mesurs.

jLs Rs
Rr/g jLr
R L
Is Ir
I

V
A
R, L
R, L
R, L
MAS
I
0
Annexes
219
mec fer J
P P P P P P + + = + =
| o
(1)
P
o
et P
|
sont les puissances mesures par la mthode des deux wattmtres.
P
fer
: les pertes fer,
Pj : perte joule dans le stator,
Pmec : perte mcanique.
m r
Q Q Q + = (2)
Qm : puissance magntisante,
Qr : puissance ractive due aux pertes ractives.

R
V
I R P P
s phase
2
2
. 3 / + = = (3)
R : Mutuelle rsistance,
L : Mutuelle inductance.
) ( 3
| o
P P Q = (4)
3 /
2
2
Q
X
V
I Ls Q
phase
= + =

e (5)
Dune manire gnrale linductance statorique
100
L
Ls ~ .
Le courant vide reprsente 50% du courant nominal.

e
X
V
I
L
Q
phase
2
2
.
100
+ = (6)

X
V
I
X
Q
phase
2
2
.
100
+ = (7)
Le rendement est exprim par :
85 . 0
. 2
~
+
=
+ + +
=
+
= =
fer u
u
mec j f u
u
fer u
u
a
u
P P
P
P P P P
P
P P
P
P
P
q (8)
W P
P
P
u
u
fer
287 . 154
85 . 0 2
1
=
(

= (9)
Calcul de R
,
L

, R
S,
L
S

W
P P
P
phase
66 . 105
3
317
3
463 780
3
= =

=
+
=
| o

Daprs lquation (3)
Annexes
220
O =

= 671 . 539
) 3 . 2 .( 02 . 3 66 . 105
220
2
2

R do O = 671 . 539 R
VAR Q
phase
717
3
94 . 2152
3
) 463 780 ( 3
= =
+
=

X
I X
Q
phase
2 2
220
100
.
+ = do O = 86 . 67 X
Essai rotor bloqu
Des essais rotor bloqu ont t raliss pour identifier les paramtres du rotor notamment la
rsistance rotorique Rr et linductance rotorique Lr.
A rotor bloqu, le glissement est unitaire. Limpdance du circuit du rotor (Rr/g) est alors trs
faible (cest pourquoi on lappelle aussi essai en court-circuit) et le courant rsultant de
lapplication dune tension est trs important. Par consquent, cet essai doit tre men sous
une faible tension de faon limiter le courant une valeur infrieure ou gale au courant
nominal de la MAS.
On maintient le rotor bloqu, on augmente la tension dalimentation de la MAS jusqu ce
que le courant statorique atteigne sa valeur nominale.
On mesure la puissance active (Pcc) et la puissance ractive (Qcc), le courant statorique de
court-circuit (Iscc) ainsi que la tension rduite.
Lors de lessai en C.C, les pertes fer et les pertes magntisantes de la MAS sont supposes
ngligeables devant les pertes joules et les pertes des aux flux de fuites.
La tension rduite mesure, lors de lessai rotor bloqu, est de 63 V.
( ) ( )
2 2 3
e e
r s r S
cc
L L R R
I
Vcc
+ + + =
Calcul de Rr et de Lr
( )
2 2 1
.
3
cc r s
I R R
P P
+ =
+

Mesures exprimentales : Icc=4.5A, Vcc=63V, Rs=3.02O, P1=267W, P2=56W.
Do Rr=2.29O
( ) e e Ls Rr Rs
Icc
Vcc
Lr +
|
|
|
.
|

\
|
=
2
2
3

do mH Lr Lr 23 . 7 4117 . 5 = O = e
Annexes
221
ANNEXE 6 : Liste des symboles

Icm : courant maximum;
Vm : tension maximum;
Thj : temprature de jonction;
E0 : tension de seuil;
R0 : rsistance interne;
Tf : temps de descente;
Tr : temps de monte;
Ttail : temps de trane;
Constantes :
Kr0=0.347;
Kr1=0.083;
Kr2=0.05;
Kf0=0.191;
Kf1=-0.228;
Kf2=0.474;
Kt0=0.861;
Kt1=0.09;
Kt2=0.165;

Rsum

Dans le domaine de lautomobile, laugmentation de la fiabilit passe par la dtection, la
localisation et lidentification des dfauts. Le sujet de cette thse consiste, en sappuyant sur
les diagnostics locaux faits au niveau des composants dun systme dalimentation
lecronique dunvhicule lectrique, dfinir une approche sret hirarchise prenant
des dcisions chaque niveau de systme pour conduire des stratgies de maintenance
prdictive et de fonctionnement en mode dgrad.
Une premire tape dans cette dmarche a consist dvelopper un modle dinterrupteur de
puissance compos dun IGBT avec une diode en antiparallle qui tient compte des non
linarits des semi-conducteurs tel que les pertes en commutation, les caractristiques
statiques, les tempratures de jonction, le phnomne de recouvrement inverse et le temps
mort entre les commandes des interrupteurs du mme bras. Des simulations lectrothermiques
ont permis lestimation des tempratures de jonctions ainsi que la surveillance des grandeurs
mesurables tel que le courant, la tension. Un modle de commutation de londuleur a t mis
en uvre partir des modles comportementaux de lIGBT et de la diode de puissance.
Lobtention du modle raliste a pass par une tape importante didentification des
paramtres statiques et dynamiques partir des mesures et partir des donnes du fabriquant.
La seconde tape a consist valider le modle obtenu avec ceux obtenus avec un onduleur
triphas de tension triphas.
La dernire partie de ce travail a t consacre lanalyse des signaux en vue de la
surveillance du systme embarqu. La fonction de la surveillance est de connatre et
dindiquer le plus rapidement possible avec le maximum de certitude, les anomalies de
comportement (ou dfaillance) partir des informations disponibles sur le systme (mesures,
modles, donnes de conception). Un algorithme de surveillance a t utilis bas sur les
techniques de traitement de signal, et plus particulirement la dcomposition en Ondelettes
de Daubechies. Ainsi lapparition dune singularit dans les formes donde est dtecte par
comparaison de la modification de lamplitude des coefficients dOndelettes avec un seuil.
Le niveau des nergies extraites partir des coefficients a t utilis pour la dtection et la
localisation du dfaut.

Vous aimerez peut-être aussi