Vous êtes sur la page 1sur 216

N dordre : 3251

ECOLE CENTRALE DE LILLE


UNIVERSITE DES SCIENCES ET TECHNOLOGIES DE LILLE
ECOLE NATIONALE DINGENIEURS DE TUNIS



THESE

Prsente en vue
dobtenir le grade de

DOCTEUR

en

Spcialit : Gnie Electrique

par

Lilia EL AMRAOUI

DOCTORAT DELIVRE CONJOINTEMENT PAR LECOLE CENTRALE DE LILLE
LUNIVERSITE DES SCIENCES ET TECHNOLOGIES DE LILLE
ET L ECOLE NATIONALE DINGENIEURS DE TUNIS


Titre de la thse :

Conception Electromcanique dune gamme dactionneurs
Linaires Tubulaires Rluctance Variable


Soutenue le 18/12/2002 devant le jury dexamen :

C. BROCHE Rapporteur
M. ELLEUCH Rapporteur
M. GASMI Rapporteur
B. NOGAREDE Rapporteur
G. SEGUIER
M. BENREJ EB
Examinateur
Examinateur
P. BROCHET Examinateur
F. GILLON Examinateur



Thse prpare dans le laboratoire L2EP, lEcole Centrale de Lille sous la direction du Professeur Pascal BROCHET
et dans lUnit de Recherche LARA Automatique, lEcole Nationale dIngnieurs de Tunis sous la direction du
Professeur Mohamed BENREJ EB






















TABLE DES MATIERES















Table des matires
_____________________________________________________________________________________________________________________________________________________________________________________
TABLE DES MATIERES

INTRODUCTION GENERALE
CHAPITRE I : CONCEPTION ET COMMANDE DES MOTEURS PAS A PAS
I.1. INTRODUCTION ..................................................................................................................... 6
I.2. DIFFERENTS TYPES ET SYSTEMES DE COMMANDE DES MOTEURS PAS A PAS .......................... 7
I.2.1. Technologie des moteurs pas pas............................................................................ 7
I.2.1.1. Moteurs pas pas rotatifs..................................................................................... 7
I.2.1.1.1. Moteur pas pas rotatifs rluctance variable........................................... 7
I.2.1.1.2. Moteur pas pas rotatifs polariss.............................................................. 8
I.2.1.2. Moteurs pas pas linaires................................................................................. 10
I.2.1.2.1. Moteur pas pas linaire rluctance variable........................................ 11
I.2.1.2.2. Moteur pas pas linaires polariss.......................................................... 12
I.2.1.3. Caractres spcifiques aux moteurs linaires..................................................... 13
I.2.1.3.1. Disposition de la partie mobile et du stator .............................................. 14
I.2.1.3.2. Guidage..................................................................................................... 16
I.2.1.3.3. Entrefer ..................................................................................................... 16
I.2.1.3.4. Effets dextrmits.................................................................................... 16
I.2.2. Commande des moteurs pas pas........................................................................... 16
I.2.2.1. Fonctionnement pas entiers............................................................................. 16
I.2.2.1.1. Commande en mode 1.............................................................................. 16
I.2.2.1.2. Commande en mode 2.............................................................................. 18
I.2.2.2. Fonctionnement pas rduits............................................................................. 19
I.2.2.2.1. Commande en mode 3.............................................................................. 19
I.2.2.2.2. Commande en mode 4.............................................................................. 20
I.2.2.2.3. Commande en mode 5.............................................................................. 21
I.2.3. Conclusion............................................................................................................... 21
I.3. POSITION DU PROBLEME...................................................................................................... 23
I.4. CONCEPTION DUN PROTOTYPE DE MOTEUR PAS A PAS LINEAIRE TUBULAIRE A RELUCTANCE
VARIABLE............................................................................................................................ 24
I.4.1. Principales approches de dimensionnement............................................................ 24
I.4.1.1. Mthodes bases sur les rseaux de rluctances................................................. 24
I.4.1.2. Mthode des lments finis................................................................................ 25
I.4.1.3. Conclusion.......................................................................................................... 26
I.4.2. Dimensionnement dun prototype de moteur pas pas linaire par la mthode des
rseaux de rluctances.............................................................................................. 26
I.4.2.1. Approche de dimensionnement.......................................................................... 26
I.4.2.1.1. Modlisation par rseaux de rluctances.................................................. 26
I.4.2.1.2. Calcul de force.......................................................................................... 28
I.4.2.2. Dtermination des caractristiques de construction........................................... 35
I.4.2.2.1. Disposition gnrale.................................................................................. 35
I.4.2.2.2. Choix de la denture................................................................................... 36
I.4.2.2.3. Choix du nombre de modules stator ......................................................... 38
I.4.2.2.4. Choix de la sparation entre les modules stator........................................ 42
I.4.2.2.5. Forme gnrale de la machine.................................................................. 43
_____________________________________________________________________________________________________
1
Table des matires
_____________________________________________________________________________________________________

I.4.2.3. Dimensionnement dun premier prototype......................................................... 47
I.4.2.3.1. Dimensionnement axial ............................................................................ 47
I.4.2.3.2. Dimensionnement radial ........................................................................... 47
I.4.2.3.3. Rcapitulatif des dimensions du prototype............................................... 48
I.5. CONCLUSION....................................................................................................................... 50

CHAPITRE II : METHODOLOGIE PROPOSEE POUR LA CONCEPTION OPTIMISEE
II.1. INTRODUCTION.................................................................................................................. 51
II.2. DIMENSIONNEMENT PAR RESEAUX DE RELUCTANCES-NECESSITE DAFFINEMENT DU
MODELE............................................................................................................................. 52
II.2.1. Modle Linaire...................................................................................................... 53
II.2.2. Prise en compte de la saturation............................................................................. 55
II.2.3. Prise en compte des fuites dencoche..................................................................... 56
II.2.4. Couplage et Dimensionnement thermique............................................................. 58
II.2.5. Prdetermination des performances de la machine................................................ 59
II.2.5.1. Distribution des flux.......................................................................................... 59
II.2.5.2. Rluctance dentrefer........................................................................................ 61
II.2.5.3. Calcul de la force statique................................................................................. 61
II.3. CARACTERISATION DE LA MACHINE PAR LA METHODE DES ELEMENTS FINIS...................... 63
II.3.1. Introduction............................................................................................................ 63
II.3.2. Estimation des performances de la machine.......................................................... 63
II.3.2.1. Formulation du problme magntostatique....................................................... 64
II.3.2.2. Distribution des flux magntiques.................................................................... 65
II.3.2.3. Rluctance dentrefer........................................................................................ 67
II.3.2.4. Calcul de force de pousse................................................................................ 67
II.3.2.4.1. Dtermination de la force par le tenseur de Maxwell.............................. 67
II.3.2.4.2. Calcul de la force en utilisant la conergie.............................................. 75
II.3.2.4.3. Comparaison des deux mthodes bases sur les rsultats lments finis76
II.3.3. Comparaison du modle rseau de rluctances au modle elements finis............. 78
II.3.3.1. Introduction....................................................................................................... 78
II.3.3.2. Comparaison des flux........................................................................................ 79
II.3.3.3. Comparaison des rluctances dentrefer ........................................................... 79
II.3.3.4. Comparaison des forces.................................................................................... 80
II.3.3.5. Conclusion......................................................................................................... 81
II.4. ETUDE EXPERIMENTALE, COMPARAISON DES RESULTATS PRATIQUES ET THEORIQUES...... 82
II.4.1. Introduction............................................................................................................ 82
II.4.2. Prsentation du banc dessais................................................................................. 82
II.4.3. Rsultats obtenus.................................................................................................... 84
II.4.3.1. Essais thermiques.............................................................................................. 84
II.4.3.2. Caractristique statique de force....................................................................... 86
II.4.4. Comparaison des rsultats exprimentaux avec ceux obtenus par simulation....... 86
II.5. OPTIMISATION ET ADAPTATION DE LA GEOMETRIE AU CAHIER DES CHARGES.................... 88
II.5.1. Approche propose pour loptimisation................................................................. 88
II.5.1.1. Introduction....................................................................................................... 88
II.5.1.2. Prsentation de la mthode des plans dexpriences......................................... 88
II.5.1.3. Choix des expriences....................................................................................... 89
II.5.1.4. Plans factoriels complets................................................................................... 89
II.5.1.5. Plans fractionnaires........................................................................................... 91
II.5.1.6. Plan treillis........................................................................................................94
_____________________________________________________________________________________________________

2
Table des matires
_____________________________________________________________________________________________________________________________________________________________________________________
II.5.1.7. Conclusion......................................................................................................... 95
II.5.2. Formulation du problme doptimisation trait...................................................... 96
II.5.2.1. Dtermination des facteurs influents sur la force de pousse........................... 98
II.5.2.2. Dtermination de la force par exploration du domaine dtude...................... 100
II.5.3. Adaptation de la gomtrie au cahier des charges................................................ 103
II.5.4. Etude de la sensibilit des paramtres gomtriques........................................... 106
finaux-zone de stabilit........................................................................................ 106
II.5.4.1. Notion de bruit et de robustesse...................................................................... 106
II.5.4.2. Plans produits et rapport signal sur bruit......................................................... 107
II.5.4.3. Etude de la sensibilit de la structure aux bruits de modlisation................... 109
II.5.4.4. Etude de la sensibilit de la structure aux bruits de construction................... 113
II.5.4.5. Dtermination dune zone de stabilit............................................................. 116
II.6. ELABORATION DUNE METHODOLOGIE DE CONCEPTION OPTIMISEE................................. 119
II.7. CONCLUSION................................................................................................................... 123

CHAPITRE III : PERFORMANCES DYNAMIQUES ET PRECISION DE POSITIONNEMENT
III.1. INTRODUCTION...............................................................................................................124
III.2. POSITION DU PROBLEME .................................................................................................125
III.3. MODELISATION DU SYSTEME EN REGIME DYNAMIQUE ...................................................126
III.3.1. Formulation dun modle du moteur ralis.......................................................126
III.3.2. Dtermination des paramtres du modle...........................................................128
III.3.3. Comparaison des rponses experimentale et simule.........................................130
III.4. METHODE PROPOSEE POUR LAMELIORATION ................................................................133
DE LA PRECISION DE POSITIONNEMENT....................................................................................133
III.4.1. Ide de base de la mthode..................................................................................133
III.4.2. Distribution du champ magntique dans la machine..........................................133
III.4.3. Construction des surfaces de rponses force en fonction de la position
et du courant........................................................................................................141
III.4.3.1. Surfaces de rponses bidimensionnelles........................................................141
III.4.3.2. Surfaces de rponses tridimensionnelles.......................................................144
III.4.4. Utilisation des surfaces de rponses pour le positionnement..............................147
III.4.4.1. Positionnement en pas entier.........................................................................147
III.4.4.2. Positionnement en micropas..........................................................................149
III.4.4.2.1. Principe de la mthode propose..........................................................149
III.4.4.2.2. Gnration du micropas.......................................................................149
III.4.4.2.3. Etude du comportement dynamique par simulation.............................154
III.5. ESSAIS SUR PROTOTYPE..................................................................................................160
III.5.1. Description du banc dessais...............................................................................160
III.5.2. Caractristiques statiques de force - comparaison lments finis / essais..........161
III.5.3. Positionnement en pas entiers.............................................................................163
III.5.4. Positionnement en micropas...............................................................................165
III.6. CONCLUSION..................................................................................................................169

CONCLUSION GENERALE
BIBLIOGRAPHIE
_____________________________________________________________________________________________________
3




















INTRODUCTION GENERALE


Introduction gnrale
_____________________________________________________________________________________________________________________________________________________________________________________
INTRODUCTION GENERALE


Les moyens informatiques modernes et les logiciels dits de conception assiste par
ordinateur constituent des moyens puissants de calcul et danalyse des systmes et des
structures lectromagntiques en particulier [Spinnler 97].
Ces moyens modernes fascinent par leur facilit, mais ils ne dchargent pas le
concepteur de toute rflexion. Ils exigent bien au contraire des connaissances plus tendues
pour tre utilises efficacement au travers de nombreuses tches. En effet, le concepteur ne
peut pas modliser ce quil ignore, il doit connatre les lois de comportement pour laborer
les modles. De mme, il a besoin dvaluer rapidement les grandeurs principales pour
apprcier les rsultats des calculs automatiques, il doit savoir sur quel paramtre agir pour
amliorer une solution et il doit traduire dans le concret labstraction des modles.
Les outils de calcul puissants qui ne cessent de se sophistiquer, dans le domaine de
llectrotechnique, sont gnralement bass sur des mthodes numriques dont le principe
est souvent connu depuis bien longtemps. La mthode des lments finis en est un exemple
[Meunier 84], [Wurtz 92], [Sabonnadiaire 93], [Spinnler 97], [Reece 00].
Lorsquelle est convenablement applique aux problmes dlectromagntique plans,
volumiques ou axi-symtriques, la mthode des lments finis permet dobtenir des rsultats
trs proches de la ralit. Elle devient, ainsi, parfaitement adapte la simulation des
performances dun nouveau produit et son optimisation en diminuant considrablement le
nombre de prototypes raliser. De ce fait, la simulation devient un vritable outil de
prototypage virtuel et devient loutil de rfrence du concepteur [Gitosusastro 88] [Gillon 96].
Cependant, les appareils lectromcaniques dont les actionneurs, ont souvent une
gomtrie et des caractristiques physiques qui rendent leur modlisation dynamique
dlicate. La difficult de ltude de tels systmes rside dans la juxtaposition de plusieurs
phnomnes magntiques (fuites, saturation, courant induits, effets dextrmit pour les
machines linaires, mouvement, ) qui, lorsquils sont tous pris en compte simultanment
dans les simulations ncessitent des temps de calcul trs importants. Nanmoins, il nous a
paru indispensable de dvelopper un modle lments finis pour lactionneur pas pas que
nous avons concevoir.
Cet actionneur pouvant servir motoriser un chariot de perceuse de circuits
imprims, doit tre capable de dvelopper un mouvement incrmental linaire de pas 2,54
___________________________________________________________________________

4
Introduction gnrale
_____________________________________________________________________________________________________________________________________________________________________________________
millimtres et une force de dmarrage denviron 20 Newtons sur chaque pas de
dplacement et sur une course utile denviron 100 millimtres.
Les modles numriques dvelopps seront tests sur un premier prototype construit
spcialement pour juger de la prcision des rsultats de simulation. Une fois ces modles
valids, ils seront utiliss dune part, pour llaboration dune mthodologie de conception
optimise pour le type dactionneur considr et dautre part, pour le dveloppement dune
approche globale permettant dintgrer les rsultats de lanalyse lments finis dans un
processus de modlisation dynamique pouvant tre rsolu rapidement. Dans ce sens, nous
dcomposons notre travail en trois chapitres.
Dans le premier chapitre, nous nous intresserons principalement au choix et au
calcul dun prototype de moteur pas pas assurant le positionnement linaire. Pour ce faire,
nous passerons en revue les diffrents types de moteurs pas pas capables de gnrer un
mouvement incrmental ainsi que leurs principes de fonctionnement et leurs modes de
commande. La classification de ces moteurs, nous permettra ensuite, de slectionner la
structure la mieux adapte lapplication considre. Une fois la structure dfinie, nous
proposerons dans la dernire partie du premier chapitre, une dmarche de dimensionnement
permettant de dfinir les caractristiques gomtriques dun premier prototype.
Le deuxime chapitre sera consacr lexpos de la mthodologie de conception
optimise dploye. Cette mthodologie repose sur lutilisation de :
un modle rseaux de rluctances amlior pour le dimensionnement de la
structure lectromagntique tenant compte de la non-linarit du matriau et des fuites
dencoche,
un modle lments finis fiable et valid par des essais pratiques sur un banc
dessais ralis cette fin,
la mthode des plans dexpriences pour loptimisation des performances des
structures lectromagntiques sous contraintes,
la notion de rapport signal sur bruit afin de dfinir une zone de stabilit sur laquelle
un ensemble de structures optimales peut tre dfini.
Enfin, le troisime chapitre prsente la mthode propose pour ltude du
positionnement linaire partir dun modle dynamique prenant en compte des rsultats
lments finis regroups sous forme de surfaces de rponses. Dans la dernire partie de ce
chapitre, les rsultats des essais pratiques sont compars ceux obtenus par simulations
numriques.
___________________________________________________________________________
5


















CHAPITRE I :
CONCEPTION ET COMMANDE
DES MOTEURS PAS A PAS

Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
TABLE DES MATIERES
I.1. INTRODUCTION ................................................................................................................ 6
I.2. DIFFERENTS TYPES ET SYSTEMES DE COMMANDE DES MOTEURS PAS A PAS ..................... 7
I.2.1. Technologie des moteurs pas pas.......................................................................... 7
I.2.1.1. Moteurs pas pas rotatifs ................................................................................. 7
I.2.1.1.1. Moteur pas pas rotatifs rluctance variable.......................................... 7
I.2.1.1.2. Moteur pas pas rotatifs polariss............................................................. 9
Les moteurs aimants permanents........................................................................ 9
Les moteurs hybrides ........................................................................................... 10
I.2.1.2. Moteurs pas pas linaires .............................................................................. 10
I.2.1.2.1. Moteur pas pas linaire rluctance variable ........................................ 11
I.2.1.2.2. Moteur pas pas linaires polariss.......................................................... 12
Les moteurs aimant permanent.......................................................................... 12
Les moteurs hybrides ........................................................................................... 12
I.2.1.3. Caractres spcifiques aux moteurs linaires .................................................. 13
I.2.1.3.1. Disposition de la partie mobile et du stator .............................................. 14
Stator unique ........................................................................................................ 14
Stator double ........................................................................................................ 14
Structure tubulaire partie mobile interne........................................................... 15
Structure tubulaire partie mobile externe .......................................................... 15
I.2.1.3.2. Guidage..................................................................................................... 16
I.2.1.3.3. Entrefer ..................................................................................................... 16
I.2.1.3.4. Effets dextrmits .................................................................................... 16
I.2.2. Commande des moteurs pas pas .......................................................................... 16
I.2.2.1. Fonctionnement pas entiers........................................................................... 16
I.2.2.1.1. Commande en mode 1 .............................................................................. 16
I.2.2.1.2. Commande en mode 2 .............................................................................. 18
I.2.2.2. Fonctionnement pas rduits........................................................................... 19
I.2.2.2.1. Commande en mode 3 .............................................................................. 19
I.2.2.2.2. Commande en mode 4 .............................................................................. 20
I.2.2.2.3. Commande en mode 5 .............................................................................. 21
I.2.3. Conclusion .............................................................................................................. 21
I.3. POSITION DU PROBLEME.................................................................................................. 23
I.4. CONCEPTION DUN PROTOTYPE DE MOTEUR PAS A PAS LINEAIRE TUBULAIRE A
RELUCTANCE VARIABLE.................................................................................................. 24
I.4.1. Principales approches de dimensionnement ........................................................... 24
I.4.1.1. Mthodes bases sur les rseaux de rluctances .............................................. 24
I.4.1.2. Mthode des lments finis.............................................................................. 25
I.4.1.3. Conclusion ....................................................................................................... 26
I.4.2. Dimensionnement dun prototype de moteur pas pas linaire par la mthode des
rseaux de rluctances............................................................................................. 26
I.4.2.1. Approche de dimensionnement........................................................................ 26
I.4.2.1.1. Modlisation par rseaux de rluctances .................................................. 26
Caractrisation dun tube de flux magntiques .................................................... 27
Dfinition du potentiel magntique scalaire......................................................... 27
Rluctance magntique ........................................................................................ 28
I.4.2.1.2. Calcul de force .......................................................................................... 28
Bilan nergtique.................................................................................................. 29
Effort statique....................................................................................................... 30
_______________________________________________________________________________________________________________________________________
I.3. Position du problme
_____________________________________________________________________________________________________________________________________________________________________________________
Conergie magntique.......................................................................................... 31
Cas linaire........................................................................................................... 33
I.4.2.2. Dtermination des caractristiques de construction......................................... 35
I.4.2.2.1. Disposition gnrale.................................................................................. 35
I.4.2.2.2. Choix de la denture ................................................................................... 36
I.4.2.2.3. Choix du nombre de modules stator ......................................................... 38
Cas dun seul module ........................................................................................... 38
Cas de deux modules............................................................................................ 39
Cas de trois modules ............................................................................................ 39
Cas de quatre modules.......................................................................................... 41
I.4.2.2.4. Choix de la sparation entre les modules stator........................................ 42
I.4.2.2.5. Forme gnrale de la machine .................................................................. 43
Calcul de leffort statique..................................................................................... 44
Calcul des pertes Joule ......................................................................................... 45
Coefficient de forme............................................................................................. 46
I.4.2.3. Dimensionnement dun premier prototype ...................................................... 47
I.4.2.3.1. Dimensionnement axial ............................................................................ 47
I.4.2.3.2. Dimensionnement radial ........................................................................... 47
I.4.2.3.3. Rcapitulatif des dimensions du prototype............................................... 48
I.5. CONCLUSION................................................................................................................... 50
_______________________________________________________________________________________________________________________________________




Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________

I.1. INTRODUCTION

Les moteurs pas pas sont des transducteurs lectromcaniques qui assurent la
conversion des signaux lectriques digitaux ou impulsionnels en mouvements de rotation ou
de translation de type incrmental [Jufer 95].
Ces moteurs constituent ainsi une alternative intressante pour la rsolution du
problme de positionnement auquel nous sommes confronts. En effet, afin de motoriser un
chariot de perceuse de circuits imprims devant effectuer des mouvements incrmentaux de
translation dans un plan horizontal, un cahier de charges a t dress. Lobjectif de cette
application est de dvelopper un systme qui permet de gnrer un pas lmentaire de
translation de 2,54 millimtres, correspondant la distance sparant deux pins de circuits
intgrs, ainsi quune force de dmarrage denviron 20 Newtons sur chaque pas de
dplacement. Ce systme est prvu pour fonctionner faible vitesse et sur une course utile
denviron 100 millimtres.
Dans ce sens, le premier chapitre consiste tablir une classification des moteurs pas
pas et de leurs diffrents modes de commande. Cette classification va nous permettre de
slectionner la structure la mieux adapte au problme pos.
La dernire partie de ce chapitre est consacre au prdimensionnement de la structure
retenue dans le but de caractriser un premier prototype rpondant aux besoins de
lapplication.
_______________________________________________________________________________________________________________________________________
6
I.3. Position du problme
_____________________________________________________________________________________________________________________________________________________________________________________
I.2. DIFFERENTS TYPES ET SYSTEMES DE COMMANDE DES
MOTEURS PAS A PAS
Le moteur pas pas est un convertisseur lectromcanique ayant pour fonction la
transformation dune information lectrique en une action mcanique pouvant tre un
dplacement linaire ou plus classiquement angulaire [Abignoli 91 a], [Sahraoui 93].
Les performances dun systme dentranement ou de positionnement, dpendent
simultanment du type de moteur, de la structure choisie, du systme dalimentation utilis et
de la stratgie de commande implante [Kant 89], [Kauffman 92].
Il est donc important de bien connatre les moteurs pas pas rotatifs et linaires,
rluctants ou aimants, les phnomnes physiques qui engendrent leurs mouvements ainsi
que les diffrents modes de commande de ces moteurs pas pas rotatifs, pouvant tre
appliqus au cas particulier des moteurs linaires [Abignoli 91 a].
I.2.1. TECHNOLOGIE DES MOTEURS PAS A PAS
Un actionneur lectrique peut crer deux types de mouvements : un mouvement de
rotation ou un mouvement de translation.
I.2.1.1. Moteurs pas pas rotatifs
Les moteurs pas pas peuvent tre classs en fonction du phnomne physique qui est
lorigine de leur mouvement. On distingue principalement, deux catgories de moteurs pas
pas : les moteurs rluctance variable et les moteurs polariss [Abignoli 91 b], [Kant 89].
I.2.1.1.1. Moteur pas pas rotatifs rluctance variable
La rotation dun moteur rluctance variable est engendre par la raction, entre un
champ magntique statorique et un rotor saillant, qui conduit une disposition aligne de la
partie saillante avec le ple cr par le champ magntique. Dans ces conditions, le flux est
maximum et la rluctance du circuit magntique est minimum [Multon 94].
Ce type de moteur est caractris par une structure dente au niveau du rotor et du
stator. Le nombre de bobines dans le stator et le type de connexion dterminent le nombre de
phases du moteur.
La figure I.1 prsente un moteur pas pas rluctant comportant six plots au stator
(1,1,2,2,3,3) et quatre dents au rotor.
_______________________________________________________________________________________________________________________________________
7
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
N
1'
3'
2
Bobine 6
Bobine 5
Bobine 4
Bobine 3
Bobine 2
1
3
Bobine 1
2'
Figure I.1: Structure classique dun moteur rluctance variable rotatif double saillance
Chaque couple de deux bobines statoriques diamtralement opposes et connectes en
srie, constitue une des trois phases de la machine considre. Lalimentation dune phase
cre un couple permettant le dplacement du rotor vers une position dquilibre quil garde
tant que lalimentation est maintenue. Cet tat dquilibre correspond une position aligne
entre les dents statoriques porteuses de la phase alimente et les dents rotoriques. Chaque
nouvelle squence dalimentation tablit un nouvel quilibre. Le moteur se dplace donc avec
un pas angulaire de 60.
Pour augmenter la rsolution angulaire de ces moteurs, des constructions circuits
magntiques multiples, dites multistack, peuvent tre envisages [Seguier 96]. Ces moteurs se
prsentent principalement sous deux formes:
un empilage de plusieurs machines monophases identiques assembles
mcaniquement sur le mme arbre,
un stator unique, possdant plusieurs rotors magntiquement indpendants, mais
coupls mcaniquement.
Dans toutes ces machines, le rotor et les stators prsentent le mme nombre de dents,
le mouvement tant obtenu par le dcalage mcanique des rotors ou des stators, les uns par
rapport aux autres. La figure I.2 prsente une machine multistack trois stators [Grenier 01].
_______________________________________________________________________________________________________________________________________
8
I.3. Position du problme
_____________________________________________________________________________________________________________________________________________________________________________________

Figure I.2 : Moteur pas pas rluctance variable multistack
I.2.1.1.2. Moteur pas pas rotatifs polariss
Les moteurs aimants permanents
Le fonctionnement des moteurs aimants permanents est assur par laction dun
champ lectromagntique statorique sur un rotor compos daimants permanents [Kant 89],
[Jufer 95]. Le schma de la figure I.3 illustre la structure lmentaire dune machine aimant
permanent. Les enroulements sont bobins sur des plots rgulirement rpartis dans le stator.

N
S

1
Figure I.3 : Structure dun moteur aimant permanent rotatif
_______________________________________________________________________________________________________________________________________
9
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
Les moteurs pas pas aimants permanents sont souvent aliments en bipolaire ainsi
les enroulements (
1
) et (
2
), figure I.3, sont mis en srie pour ne former quune phase (),
ainsi que (
1
) et (
2
) pour former la deuxime phase () dcale dun angle de /2.
Lalimentation successive des phases () et () par des courants positifs et des
courants ngatifs, peut donc provoquer un mouvement de rotation entre ses diffrentes
positions dquilibre [Ben Salah 97].
Les moteurs hybrides
Les moteurs pas pas hybrides sont gnralement constitus dun rotor dent muni
daimants permanents. Le rotor possde gnralement deux disques polaires dcals dun
angle lectrique de . La figure I.4 prsente la structure dun moteur pas pas hybride huit
enroulements.









Figure I.4: Structure dun moteur hybride
Ce type de moteurs prsente la fois les avantages du moteur aimant permanent qui
possde un couple lev et ceux du moteur rluctance variable qui permet dobtenir un
nombre important de pas par tour. Toutefois, linertie dun tel rotor ainsi que les pertes fer
sont relativement importantes et pnalisent donc cette structure [Kant 89], [Gieras 02].
I.2.1.2. Moteurs pas pas linaires
Tout comme pour les versions rotatives, les moteurs pas pas linaires se composent
dun stator portant les bobinages et dune partie mobile se dplaant cette fois-ci linairement.
_______________________________________________________________________________________________________________________________________
10
I.3. Position du problme
_____________________________________________________________________________________________________________________________________________________________________________________
Cette partie mobile peut tre ralise partir dune pice ferromagntique dente, dans
ce cas la structure est dite rluctance ou passive ; ou alors la partie mobile est munie
daimants permanents et dans ce cas la structure est dite polarise ou active.
I.2.1.2.1. Moteur pas pas linaire rluctance variable
Ce type de moteur est caractris par une structure dente aux niveaux du stator et de
la partie mobile. Le circuit magntique est gnralement assembl partir de tles
magntiques de forte permabilit. Toutefois, les machines destines au positionnement ou
une translation lente, peuvent tre ralises avec des pices massives. Les enroulements du
circuit lectrique sont gnralement concentrs autour des plots du stator et donc faciles
raliser [Lee 00]. La figure I.5 prsente une structure linaire rluctance variable deux
modules stator, chaque module comporte deux plots autour desquels sont enroules les
bobines. Chaque phase de la machine est forme par la mise en srie des deux bobines dun
mme module. Des sparations amagntiques sont ncessaires entre les diffrents modules
statoriques afin dimposer un dcalage. En effet, si les plots dun module sont aligns avec les
dents de la partie mobile, les plots des autres modules stator doivent tre dcals pour
pouvoir crer une force de dplacement.
Chaque phase de la machine impose la partie mobile une position dquilibre
correspondant lalignement de ses dents avec les plots statoriques de la phase alimente.

2
Partie mobile
1
Phase 1 Phase 2
Sparation amagntique





a. Phase 1 alimente
Pas de dplacement
1 2
P
l
o
t

1


P
l
o
t

1

Phase 2 Phase 1
b. Phase 2 alimente
Figure I.5 : Moteur pas pas linaire rluctance variable


_______________________________________________________________________________________________________________________________________
11
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
Sur la figure I.5.a la partie mobile est aligne avec les dents du module 1, ensuite, sur
la figure I.5.b la partie mobile vient aligner ses dents avec celles du module 2.
I.2.1.2.2. Moteur pas pas linaires polariss
Les moteurs aimant permanent
Ce sont des moteurs dont la partie mobile est gnralement lisse et forme dune
succession daimants permanents monts en surface.
Les moteurs hybrides
Le mouvement des moteurs hybrides rsulte de la superposition de la force dveloppe
par leffet rluctant des dents et de la force cre par laimant [Wang 98]. La contribution des
amplitudes et des priodes gomtriques de ces forces permet de raliser des caractristiques
statiques trs diversifies. En effet, laimant, plac dans la structure hybride, assure une
certaine distribution des lignes de champ. Lalimentation des bobines produit un phnomne
daiguillage des lignes de champ plus au moins important suivant lintensit du courant
dalimentation. En jouant sur lorientation des lignes de champ, il est possible de matriser la
variation de la force rsultante.
La figure I.6 reprsente un moteur linaire rluctant polaris bipolaire o laimant et
les bobines sont placs sur le mme support fixe [Jufer 95].

Stator

Partie mobile
Aimant permanent
1 1' 2 2'





Figure I.6: Moteur pas pas linaire hybride biphas

Les deux paires de plots adjacents (1,1) et (2,2), de la structure de la figure I.7.a sont
parcourus dans le mme sens par le flux de laimant. Les quatre bobines forment deux phases
constitues chacune par deux bobines montes en srie. Si le sens denroulement des bobines
est oppos, alors le flux cr par une phase circule conformment la distribution de la figure
I.7.b. La superposition du flux cr par laimant celui cr par la bobine de la phase 1
produit une nouvelle distribution des lignes de flux, donc de la force, figure I.7.c.
_______________________________________________________________________________________________________________________________________
12
I.3. Position du problme
_____________________________________________________________________________________________________________________________________________________________________________________
b. Flux cr par lalimentation dune phase
a. Flux cr par laimant

c. Flux rsultant
Figure I.7 : Distribution du flux dans un moteur linaire rluctant polaris

Pour une structure o laimant est fix sur la partie mobile, les flux dvelopps par la
rluctance variable et laimant obissent aux mmes conventions que dans le cas prcdent.
I.2.1.3. Caractres spcifiques aux moteurs linaires
Un moteur linaire gnre directement une force de dplacement et un mouvement de
translation contrairement aux moteurs rotatifs qui pour y arriver ncessitent lutilisation
dorganes spcifiques de transmission afin dassurer la conversion du mouvement rotatif en
linaire.
Lattrait pour lentranement linaire provient directement des avantages intrinsques
de lentranement direct qui sont : une grande dynamique du mouvement, une bonne prcision
de positionnement, une dure de vie importante, une grande fiabilit, la rduction du nombre
de composants pour raliser un axe motoris, la flexibilit et la souplesse [Favre 00].
Toutefois, ces moteurs linaires, prsentent des spcificits, dues la disposition de
leurs parties mobiles par rapport leurs parties fixes, notamment des systmes de guidage
_______________________________________________________________________________________________________________________________________
13
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
particuliers, entranant de nouvelles contraintes au niveau de lentrefer magntique. De plus,
de part leur structure gomtrique, les moteurs linaires prsentent des effets dextrmits.
Ces diffrences technologiques par rapport aux moteurs rotatifs doivent tre prises en
compte au moment de la conception et de la ralisation pratique.
I.2.1.3.1. Disposition de la partie mobile et du stator
Contrairement au cas des machines rotatives o le rotor et le stator sont gnralement
coaxiaux, les machines linaires peuvent se prsenter sous forme plate, figure I.8.a, ou
cylindrique, figure I.8.b. Elles sont constitues dune partie mobile et dun stator fixe dont les
positions peuvent tre inverses [Laforie 74].







Partie mobile
Stator fixe
Stator fixe
Partie mobile
a. Structure plate b. Structure cylindrique
Figure I.8 : Structures de moteurs pas pas linaires
Pour les structures plates, il est possible de raliser des actionneurs stator unique ou
stator double. Pour les structures cylindriques, il est possible denvisager des actionneurs
tubulaires partie mobile interne ou externe [Jufer 95].
Stator unique
Cest une variante simple qui sintgre aisment dans les applications courantes mais
qui prsente une force dattraction importante entre stator et partie mobile, figure I.9.a.
Stator double
La structure stator double permet dobtenir, dune part des forces de pousses plus
leves que pour la structure stator unique et dautre part dallger la partie mobile, car si
cette dernire est bien centre, la rsultante des forces dattraction est alors nulle. Cette
structure est particulirement bien adapte au cas o le stator est fixe, figure I.9.b.


_______________________________________________________________________________________________________________________________________
14
I.3. Position du problme
_____________________________________________________________________________________________________________________________________________________________________________________






a. Variante stator simple b. Variante stator double
Figure I.9 : Diffrentes structures plates

Structure tubulaire partie mobile interne
Cette variante permet une meilleure utilisation du volume disponible. Tout comme la
structure stator double, la rsultante des forces dattraction est thoriquement nulle
condition que la structure soit bien centre, figure I.10.a.
Structure tubulaire partie mobile externe
La puissance volumique dune structure partie mobile externe est trs comparable
celle dune structure partie mobile interne, figure I.10.b. Cependant, la structure partie
mobile externe est plus dlicate ajuster [Jufer 95].



Stator
Partie mobile
Partie mobile
Stator
Partie mobile
cale amagntique
Stator suprieur
Stator infrieur
Partie mobile
Module stator 2
Module stator 1
a. Structure tubulaire partie mobile interne b. Structure tubulaire partie mobile externe
Figure I.10: Diffrentes structures cylindriques
_______________________________________________________________________________________________________________________________________
15
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
Pour les diffrentes structures de moteurs linaires, le mouvement de la partie mobile
est soit d un effet de rluctance variable pur, soit la prsence daimants permanents, ou
alors un effet combin.
I.2.1.3.2. Guidage
Le centrage dun rotor dans une structure rotative par des roulements est beaucoup
plus simple et efficace que le guidage sur une structure linaire pour laquelle la rsultante des
forces dattraction peut tre importante et difficile matriser cause de la distance entre les
appuis. Toutefois, certaines structures permettent de saffranchir de cette force dattraction et
de faciliter ainsi le guidage [Jufer 95].
I.2.1.3.3. Entrefer
Compte tenu du systme de guidage entre les parties fixes et mobiles dun moteur
linaire, il est difficile de raliser un entrefer aussi faible que dans une variante tournante.
Lentrefer rapport au pas de dplacement est, par consquent, plus lev. Il en rsulte une
force par unit de surface plus faible [Favre 00].
I.2.1.3.4. Effets dextrmits
Le stator dun moteur rotatif est ferm sur lui-mme. Il assure donc la continuit des
phnomnes lectromagntiques contrairement tout moteur linaire caractris par une
discontinuit ses extrmits. Cette discontinuit cre des diffrences de trajets pour les
lignes de champs circulant dans le fer, selon quune phase dextrmit ou une phase centrale
est excite [Bolopion 84], [Khidiri 86].
I.2.2. COMMANDE DES MOTEURS PAS A PAS
Deux classes de dplacement peuvent tre dfinies pour un moteur pas pas ; soit le
moteur avance dun pas entier, soit dun fragment de pas selon un mode de commande adopt.
Dans cette partie, diffrentes stratgies de commande sont prsentes : deux stratgies de
commande en pas entiers, dites commandes en mode 1 et 2 ainsi que trois stratgies de
commandes en pas fragments, dites commandes en modes 3, 4 et 5 [Abignoli 91 b].
I.2.2.1. Fonctionnement pas entiers
I.2.2.1.1. Commande en mode 1
La commutation phase par phase de la structure quadriphase de la figure I.11 permet
au mobile datteindre quatre positions dquilibre sur une priode de dplacement.
_______________________________________________________________________________________________________________________________________
16
I.3. Position du problme
_____________________________________________________________________________________________________________________________________________________________________________________
Figure I.11 : Structure quadriphase alimentation unipolaire
N
S

'
'


Les interrupteurs K

, K

, K

et K

de la figure I.11, sont actionns successivement


conformment au cyclogramme de la figure I.12.a ce qui conduit quatre positions
dquilibre du mobile. La figure I.12.b symbolise le mode de commande des diffrentes
bobines et la position relative du mobile suivant lalimentation.
Position 1
0 T/2 T
I

I
'
I
'

'
'

I

Sens du mouvement
Position 4
Position 2
I


I
'

I
'


Position 3
a. Cyclogramme des courants dalimentation b. Positions dquilibre
Figure I.12 : Principe dalimentation en mode 1
Si les interrupteurs de la figure I.11 sont actionns dans lordre inverse : K

, K

, K


et K

, le dplacement du champ et par consquent celui de la partie mobile se fait dans le


sens contraire du prcdent. Ainsi, lemplacement des positions dquilibre est conserv mais
leur occurrence est inverse.
_______________________________________________________________________________________________________________________________________
17
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
Les connexions srielles de et , dune part, et de et dautre part, permet
dobtenir un enroulement diphas quil faut alimenter en bipolaire, figure I.13.
N
S

'
'


Figure I.13 : Principe de lalimentation bipolaire en mode 1
Lexcitation du stator ainsi connect, suivant le cyclogramme (), (), (-),
(-) donne le mme nombre de pas cyclique que lalimentation unipolaire phase par phase,
mais le couple est dans ce dernier cas plus important car deux bobines sont simultanment
alimentes, figure I.14.
Position 1

I
'
-I
'
-I
'
I
'

'
'

Sens du mouvement
Position 4
Position 2
I
'
I
'
I





Position 3

a. Cyclogramme des courants dalimentation b. Positions dquilibre
Figure I.14 : Principe de lalimentation dun bobinage diphas en mode 1
I.2.2.1.2. Commande en mode 2
Dans le cas de la commande en mode 2, les doublets de phases successives de la
machine de la figure I.11 sont aliments simultanment suivant lordre (,), (,), (,),
_______________________________________________________________________________________________________________________________________
18
I.3. Position du problme
_____________________________________________________________________________________________________________________________________________________________________________________
(,), conformment au cyclogramme de la figure I.15.a les positions dquilibre
correspondantes sont illustres sur la figure I.15.b.
(', ')
(', )
(, ')
Position 4 Position 3
Position 1
(, )
Position 2
I
'
0 T/2 T
I
'
I

I

I


I
'
I
'



a. Cyclogramme des courants dalimentation b. Positions dquilibre du champ
Figure I.15 : Principe dalimentation en mode 2
Lavantage de ce mode de commande est quil optimise lutilisation du cuivre de la
machine et donc lexploitation de sa puissance massique, le couple dvelopp dans ce cas est
multipli par 2 par rapport au couple dvelopp par une commande en mode 1 unipolaire.
I.2.2.2. Fonctionnement pas rduits
I.2.2.2.1. Commande en mode 3
Ce mode de fonctionnement est une combinaison en alternance des modes 1 et 2. Le
nombre de positions dquilibre est ainsi doubl et un dplacement en demi pas est gnr. La
figure I.16.a donne lallure des courants de phase pour la machine aimant permanent
alimentation unipolaire de la figure I.11. Sur la figure I.16.b sont illustres les huit positions
dquilibre correspondantes au fonctionnement en demi-pas.
Linconvnient de ce mode de fonctionnement est que le champ cr chaque
position, na pas toujours la mme amplitude. Il est 2 fois plus important la position 2
qu la position 1.


_______________________________________________________________________________________________________________________________________
19
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
a. Cyclogramme des courants dalimentation b. Positions dquilibre
Figure I.16 : Principe dalimentation en mode 3
I.2.2.2.2. Commande en mode 4
La diffrence entre ce mode de fonctionnement et le mode 3, prsent prcdemment,
est que pour obtenir des vecteurs champs damplitudes identiques quelle que soit la position,
la consigne de courant est multiplie par un facteur 2 lorsquune seule phase est alimente.
Ce mode permet de conserver les pertes Joule constantes au cours du fonctionnement. Les
allures des courants dalimentation sont, dans ce cas, donnes par le cyclogramme de la figure
I.17.a et les positions dquilibre correspondantes par la figure I.17.b.
a. Cyclogramme des courants dalimentation b. Positions dquilibre
I

I
'
I
'
0 T/4 T/2 3T/4
Position 4
(, ')
Position 3

Position 8
(', )
Position 2
(, )
Position 6
(', ')
Position 5
'
Position 7
'
Position 1

I
'
I

I
'
Position 4
(, ')
Position 3

I

Position 8
(', )
Position 2
(, )
Position 6
(', ')
Position 5
'
Position 7
'
Position 1

I
'
I
'
I

0 T/4 T/2 3T/4


I

I
'
I
'
Figure I.17 : Principe dalimentation en mode 4
_______________________________________________________________________________________________________________________________________
20
I.3. Position du problme
_____________________________________________________________________________________________________________________________________________________________________________________
I.2.2.2.3. Commande en mode 5
Pour amliorer substantiellement la rsolution angulaire dun moteur pas pas par
action sur la commande, la technique de pilotage en micropas, par variation de lamplitude
des courants, peut tre applique [Ben Salah 02 a].
Cette technique de fragmentation de pas consiste alimenter simultanment deux
phases de la machine par deux courants damplitudes diffrentes. Les amplitudes des courants
dalimentation varient squentiellement en crneaux entranant ainsi le rotor par fragments de
pas [Abignoli 91 b] [Paroz 92] [Lucidarme 93].
Par ce mode dexcitation, la modulation des courants injects dans les phases
statoriques permet de positionner le rotor dans une direction quelconque.
Si les enroulements statoriques du moteur sont aliments par des niveaux de courant
variations sinusodales, figure I.18.a, alors les phases et doivent tre alimentes
simultanment par les courants I

et I

afin dobtenir parmi les n positions celle repre par


sur la figure I.18.b [Hans 91].
I
2


I
3




I
4
I


I
1
I

I
2
I
3
3
2


1
Courant
Position
I
I


a. Cyclogramme des courants dalimentation b. Positions dquilibre du champ
Figure I.18: Pilotage par modulation damplitude des courants
I.2.3. CONCLUSION
Cette premire partie a t consacre ltude de diffrents types et structures de
moteurs pas pas rotatifs et linaires ainsi que de diffrents modes de commande
envisageables.
_______________________________________________________________________________________________________________________________________
21
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
Cette tude a montr que pour gnrer un mouvement incrmental de translation, le
moteur pas pas linaire attaque directe reprsente une solution intressante. En effet, cette
solution se distingue de celle utilisant un moteur pas pas rotatif par labsence dorgane de
transmission, rduisant le nombre de composant augmentant ainsi la flexibilit du systme
[Favre 99], [Favre 00].
Les modes de commande prsents dans cette partie pour des moteurs pas pas
rotatifs peuvent tre adopts et adapts au cas des structures linaires.
_______________________________________________________________________________________________________________________________________
22
I.3. Position du problme
_____________________________________________________________________________________________________________________________________________________________________________________
I.3. POSITION DU PROBLEME
Les performances dun positionnement utilisant les moteurs pas pas linaires
dpendent la fois de la structure retenue, de la stratgie de commande considre et du
systme dalimentation [Ben Salah 97], [Sahraoui 93].
La figure I.19 reprsente les diffrents blocs fonctionnels permettant de crer un
mouvement de translation.




Actionneur pas
pas linaire
Stratgie de
commande
lectronique de
puissance
Alimentation
Pertes
Position de
rfrence
Force (N)

Position (mm)
Figure I.19 : Schma synoptique de la chane daction dun moteur pas pas linaire
La position de rfrence impose par lutilisateur est lentre du systme global. Le
rle de la stratgie de commande est de conditionner lalimentation de lactionneur par action
sur llectronique de puissance afin datteindre la position de rfrence sans avoir recours un
asservissement. Une force de pousse linaire accompagne le mouvement de translation.
Pour rpondre aux exigences du cahier des charges qui impose un dplacement
linaire au pas de 2,54mm avec une force de dmarrage de 20N, une structure de moteur pas
pas linaire est dimensionne dans ce qui suit.
La comparaison des diffrentes technologies de moteurs pas pas linaires nous a
amen choisir la structure rluctante qui se distingue de la structure polarise par labsence
daimants permanents et donc par un cot de fabrication moins lev. Par ailleurs dans la
gamme des actionneurs pas pas linaires rluctants, la variante tubulaire semble tre la plus
intressante grce son effort radial thoriquement nul rduisant leffort dvelopp par la
machine la seule force de translation utile pour le dplacement.
Un prototype conu, dimensionn et ralis permettra de mener ltude de la
validation de diffrents modles construits pour lactionneur considr et de mettre en oeuvre
et de tester lefficacit de diverses stratgies de commande labores.
_______________________________________________________________________________________________________________________________________
23
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
I.4. CONCEPTION DUN PROTOTYPE DE MOTEUR PAS A PAS
LINEAIRE TUBULAIRE A RELUCTANCE VARIABLE
Aprs avoir prsent les diffrents structures et modes de commande possibles pour
les moteurs pas pas, nous allons maintenant nous intresser ltape de conception de
lactionneur.
Le concepteur dactionneur lectrique est conduit faire des choix dcisifs ds le
dpart de la phase de conception. Il a notamment la responsabilit de choisir la structure de
base de son actionneur partir de ses connaissances et des quipements existants. Ensuite, il
lui faut encore choisir ses outils de dimensionnement et de modlisation.
I.4.1. PRINCIPALES APPROCHES DE DIMENSIONNEMENT
Une tape primordiale dans la conception des machines lectriques est ltape de
modlisation. Parmi les nombreux types de modles existant pour les machines lectriques, on
distingue: les circuits lectriques quivalents, les bond-graphs, les rseaux de rluctances et
les lments finis. Pour ltude de leur comportement lectromagntique, les deux derniers
modles sont les plus fins et semblent tre les plus adquats [Li 94], [Delforge 95],
[Wang 98], [Sauvey 00].
I.4.1.1. Mthodes bases sur les rseaux de rluctances
De nombreux dispositifs lectromagntiques peuvent tre modliss par des rseaux
de rluctances. Cette reprsentation se base sur lanalogie qui existe entre les quations
lectriques et magntiques. Chaque tube de flux magntique est modlis par une rluctance,
, qui traduit la difficult rencontre par le flux magntique stablir dans le circuit
magntique, figure I.20. La distribution des flux dans le circuit magntique est rgie par les
quations de Kirshoff [Jufer 95].

S
I
=Ni : force magntomotrice
S
L

=
Tube de flux
L
Figure I.20 : Modlisation dun tube de flux par rluctance
_______________________________________________________________________________________________________________________________________
24
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________
Les rseaux de rluctances permettent de dterminer les flux magntiques traversant le
circuit quivalent puis les forces qui en dcoulent. Ce type de modle est trs largement
utilis car sa rsolution est base sur des relations analytiques pouvant tre rapidement
rsolues manuellement ou avec des outils informatiques classiques. Il faut noter cependant
que la modlisation par rseaux de rluctances induit une simplification du circuit magntique
rel [Haouara 98], [Roisse 98], [Sofiane 01].
I.4.1.2. Mthode des lments finis
Applique la conception des structures lectromagntiques, la mthode des lments
finis est devenue un outil trs rpandu. Elle consiste chercher une solution approche
partir dune discrtisation du domaine dtude sur lequel les quations de Maxwell sont
rsolues.
Cette mthode permet de dterminer un champ scalaire ou vectoriel ou mme tensoriel
satisfaisant un ensemble dquations aux drives partielles et dquations ordinaires en tout
point du domaine dtude et tout instant, tout en respectant les conditions aux limites.
Si le temps napparat pas comme variable dans la formulation, ce dernier est dit
stationnaire, sinon cest un problme dvolution [Sabonnadire 93].
Le domaine, dans lequel est effectue la simulation, est dcompos en lments sur
lesquels sont construites des solutions approches dont les inconnues sont les valeurs de la
solution en un ensemble de points finis qui sont les nuds de la discrtisation. Sur chaque
point est calcul un potentiel, figure I.21 [Reece 00].

x
Elment
Nuds
y
Figure I.21 : Discrtisation par lments finis en deux dimensions
_______________________________________________________________________________________________________________________________________
25
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
Une simulation raliste peut exiger des dizaines de milliers de nuds et dlments.
Les systmes rsoudre sont donc de grande dimension et ncessitent gnralement un temps
de rsolution important par comparaison aux modles bass sur les rseaux de rluctances.
Bien que la mthode des lments finis se distingue par la grande prcision de ses rsultats,
elle ncessite une gomtrie initiale ce qui la rend mal adapte au problme de
dimensionnement sans priori.
I.4.1.3. Conclusion
La modlisation analytique base sur lutilisation des rseaux de rluctances constitue
une bonne mthode permettant le dimensionnement rapide des machines lectriques, alors que
la modlisation numrique utilisant la mthode des lments finis est parfaitement adapte
la rsolution des problmes de conception fine et lestimation avec prcision des
performances des structures lectromagntiques. Nous nous proposons donc de construire un
premier modle par la mthode des rseaux de rluctances avec pour objectif dobtenir les
principales dimensions dun prototype.
I.4.2. DIMENSIONNEMENT DUN PROTOTYPE DE MOTEUR PAS A PAS
LINEAIRE PAR LA METHODE DES RESEAUX DE RELUCTANCES
Le choix des dimensions dune machine lectrique est une opration de synthse des
aspects techniques et conomiques. Les donnes sont la fois de nature lectrique (tension,
courant) et mcanique (force, puissance, dplacement, vitesse) [Schoorens 82],
[Abignoli 91 b].
I.4.2.1. Approche de dimensionnement
Le modle analytique propos sappuie sur la construction dun circuit quivalent
form par des rluctances, permettant de calculer le comportement lectromagntique de la
structure tubulaire du moteur. Ce type de modle peut servir au dimensionnement rapide et
lestimation des performances. Cependant, la prcision des rsultats dpend de la finesse du
circuit labor.
I.4.2.1.1. Modlisation par rseaux de rluctances
La modlisation des systmes lectromagntiques par des rseaux de rluctances est
base sur une dcomposition du domaine tudi en tubes de flux magntiques. Chaque tube
est caractris par une rluctance et une diffrence de potentiel magntique scalaire entre
ses extrmits.
_______________________________________________________________________________________________________________________________________
26
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________
Caractrisation dun tube de flux magntiques
Un tube de flux magntique est dfini par lensemble des lignes dinduction dune
part, sappuyant sur un contour ferm C et dautre part limites par deux sections S
A
et S
B

perpendiculaires aux lignes dinduction, figure I.22.
Le thorme de conservation du flux travers la surface ferme (S) du tube de flux
limit par les sections S
A
et S
B
, appliqu une section S quelconque du tube de flux permet
dcrire [Desesquelles 88]:
dS . B dS . B dS . B
S
S B
S
S A
S
S
B
B
A
A

= = = (I.1)
Dfinition du potentiel magntique scalaire
Si les surfaces S
A
et S
B
sont perpendiculaires aux lignes de champ dinduction, elles
constituent des surfaces quipotentielles. La diffrence de potentiel magntique
AB
entre les
deux surfaces sobtient par intgration de la circulation du champ magntique Hsur une ligne
joignant un point de la surface S
A
un point de la surface S
B
, elle est alors donne par :
dl . H
B
A
A B AB

= =

(I.2)
B A et tant les potentiels magntiques des surfaces S
A
et S
B
dits aussi solnations [Jufer 95],
ils reprsentant les courants rsultants crant le champ magntique en A et en B. En
considrant N spires traverses par une densit surfacique de courant J , concentriques au
circuit magntique, le thorme de Stokes appliqu lquation de Maxwell liant le vecteur
champ magntique la densit de courant dans un domaine quasi statique est donn par :
( ) J H rot = (I.3)
Le potentiel magntique peut ainsi tre crit sous la forme suivante :
Ni ds . J N dl . H
Sc
= = =

C
(I.4)
C tant un contour ferm dcrit par une ligne de champ, S
c
la section dun conducteur et Ni
reprsente les Ampres-tours dalimentation.

b. Potentiel magntique

B
A

A
dl
H
a. Tube de flux
dS
A S

C
B S

(S)
S





Figure I.22 : Flux et potentiel magntiques
_______________________________________________________________________________________________________________________________________
27
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
Rluctance magn
La rluctance dun tube de flux limit par les surfaces S
A
et S
B
est la quantit positive
dfinie par :
tique


=
A B
(I.5)
En supposant les d
positive, lexpression I.5 devient :
iverses orientations choisies pour donner directement une quantit
ds . B
dl . H
B
A

=
S

(I.6)
En supposant le tub
I.22 peut tre considre, elle-mme comme ligne de champ de sorte que :
e de flux suffisamment troit, la ligne moyenne AB de la figure
dl . H dl . H = (I.7)
Le flux dinduction travers la section S du tu
linduction moyenne
be de flux peut tre exprim partir de
B par :
S . B ds . B
S
= =

(I.8)
De plus pour une section S suppose petite, Hpeut tre assimil un champ moyen,
de ce fait son module est li linduction magntique moyenne B par :
H . B = (I.9)
tant la permabilit m
Daprs les quation evient :
agntique moyenne du tube de champ.
s I.6, I.7, I.8 et I.9 lexpression de la rluctance d

=
A S .
dl

B
(I.10)
o et S peuvent dpend
-1
, ne dpend donc que de la
gomtrie du circuit magntique et de sa permabilit. Par ailleurs, il est clair que le calcul de
la rluctanc
oteur pas pas linaire rsulte de la
transformation dune partie de lnergie lectrique absorbe par la machine en nergie
re de labscisse curviligne dl sur AB.
La rluctance du tube de flux, exprime en Henry
e est plus ais si la permabilit magntique est constante ce qui est le cas des
milieux linaires tels que les entrefers des machines.
I.4.2.1.2. Calcul de force
La force statique dveloppe par un m
_______________________________________________________________________________________________________________________________________
28
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________
mcani
tique
Un bilan nergtique ne faisant intervenir que les formes dnergies lectriques,
mcani est gnralement dsquilibr en particulier en rgime transitoire.
Ainsi,
u de lentrefer et qui apparat
indispe
agntique,
thermiq




Figure I.23 ersion lectromcanique

La conversion lec bilan nergtique dcrit
par lquation I.11 :
quation matricielle suivante qui rgit le comportement lectrique des
phases de la machine, il est possible de d
puissan
que. Cette transformation, est gnralement accompagne de pertes Joule occasionnant
des chauffements.
Bilan nerg
ques et thermiques
il est ncessaire de faire apparatre une quatrime forme dnergie associe la
conversion lectromcanique qui est lnergie magntique.
Dans [Jufer 95], est prsente une justification intuitive de lexistence dune telle
forme dnergie qui se situe essentiellement au nivea
nsable linteraction lectromagntique entre partie fixe et partie mobile.
La figure I.23 illustre le principe dune conversion lectromcanique o dW
el
, dW
mag
,
dW
Joule
et dW
mec
dsignent respectivement des variations dnergies lectrique, m
ue et mcanique.



dW
el
: Conv


dW
mag
dW
Joule
dW
mec
tromcanique peut alors se traduire par un
mag Joule mec el dW dW dW dW + + = (I.11)
A partir de l
terminer les expressions des diffrentes formes de
ces mises en jeu au cours de la transformation nergtique dcrite par lquation
(I.11) :
[ ] [ ] [ ] [ ] + =
d
i r u (I.12)
dt
avec :
vecteur des flux totaliss dans ch [ ] : aque phase,
_______________________________________________________________________________________________________________________________________
29
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
[ ] i : vecteur des courants,
rsistances de phases.
otale fournie la machine par les sources de puissance lectrique
est
[ ] u : vecteur des tensions,
[ ] r : matrice diagonale des
Ainsi, la puissance t
donne par [Mailfert 86]:
[ ] [ ] [ ] [ ] [ ] [ ] [ ] + =
d
r i u i
t t t
dt
i i (I.13)
Cette puissance est donc rpartie:
en pertes Joules, P dissipes dans les conducteurs :
Joule
[ ] [ ] [ ] i r i P
t
Joule
= (I.14)
en variation dnergie magntique emmagasine dans la machine
dt
dW
mag
,
et en puissance mcanique, P
mec
, fournie lextrieur, au moyen du travail de la

force lectromagntique F
z
au cours dun dplacement dz :

dt
dz
F P
z mec
= (I.15)
Les quations I.13, I.14 et I.15 permettent dvaluer le ail de la force lectroma que : trav gnti
[ ] [ ]
dt
dW
dt
d
i
dt
dz
F P
mag t
z mec
= = (I.16)
ariationnelle : ou sous forme v
[ ] [
z
i z F = ]
mag
t
W (I.17)
Effort statique
Soit un dplacement infinitsimal effectu virtuellement. Il est possible de
dterm ctromagntique dveloppe par une machine en translation partir
dune v on
z
iner la force le
ariati dnergie sur une variation de position [Hammond 86]:
flux [ ] constants par :
[ ] cst
mag
z
W
F

z
=

= (I.18)
_______________________________________________________________________________________________________________________________________
30
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________
ou courants constants par : [ ] i
[ ] [ ] ( )
[ ] cst i
z
z
=

mag
t
W i
F


= (I.19)
Ces deux formules permettent donc dobtenir la force moyenne de pousse pour une position
z dfinie et moyennant les hypothses nonces sur le courant ou le flux.
Conergie magntique
La quantit [ ] [ ] ( ) c mag
t
W W i = de la relation I.19 est gnralement appele conergie
[Meunier 81], [Mailfert 86]. Elle constitue une forme virtuelle dnergie plus facile exploiter
que l que dans une machine lectrique, il est plus ais de fixer le
couran ser les flux.
nergie magntique, vu
t que dimpo
Daprs lquation I.16, pour un systme au repos, la variation dnergie magntique
peut scrire sous la forme :
[ ] [ ]
pires est associ au systme lectromagntique, les quations I.4
et I.20 permettent dexprim r la v riation dnergie m
magntomotrice et de la variation du flux magntique par spire d, comme le montre
lquat
= d i dWmag (I.20)
Si un bobinage de N s
t
e a agntique en fonction de la force
ion I.21 :
[ ] [ ] [ ] [ ]
ression de la conergie et de lquation I.21, il vient lexpression
suivante valable pour un systme quelco
= = d d i N dW
mag
(I.21)
A partir de lexp
t t
nque :
[ ] [ ] [ ] [ ]
ergie et de la conergie dans un plan (, )
pour un cas non linaire.
= = +
mag c
i W W (I.22)
La figure I.24 illustre la rpartition de ln
t t
_______________________________________________________________________________________________________________________________________
31
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
(Wb)
Figure I.24 : Energie et conergie magntiques.
Cas non linaire

Daprs la figure I.24, pour un systm u repos, lnergie et la agntiques
1
0
c (I.24)
Si le circuit magntique considr est
i
traverses par des flux mag
bornes , alors cette variation de conergie peut scrire sous la forme dune somme
[Hecquet 95]:
e a conergie m
peuvent se calculer partir des relations :

=
0
mag d . W (I.23)

=
1
d . W

compos dun ensemble de rluctances


ntiques
i
prsentant des forces magntomotrices entre leurs
i

i i
au de rluctances modlisant un systme lectromagntique est gnralement
compos de rluctances de fer
fer
, de rluctances e fuit
fuite

ef
au
= =
i
0
i
i
0
i c
d . d . W (I.25)
Le rse
d e et de rluctances dentrefer
xquelles peuvent tre associes des permances de mmes natures donnes par :
i
i
1
= (I.26)
P
Ainsi, lquation I.25 devient :
+ + =
0
entrefer
0
ef
fuite
0
fuit fer c
d . . d . . d . . W P P P (I.27)


fer ef fuit
fer

1
Energie
magntique

1

* * * * * * * * *
* * *
* * * *
* * *
*
* *
* *
*
*
Position z
2
(Ampre-tour)
Points de
fonctionnement
Position z
1
Conergie
agntique m
_______________________________________________________________________________________________________________________________________
32
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________
Daprs lquation I.19, la force dveloppe par la machine modlise peut tre
dtermine en drivant la variation de conergie par rapport au dplacement courant
constant, par consquent le calcul de force revient rsoudre lquation I.28.
+

=cste Ni
it

=

fuite
0
fu
cste Ni
fer
0
fer
cste Ni
c
z
fuit fer
d .
z
d .
z z
W
F P P

ef
cste Ni
0
ef
ef
d .
z
P (I.28)
Pour un dplacement infinitsimal z de la partie mobile, la valeur moyenne de la
force d peut tre calcule partir d ne d fren finie veloppe par la machine u if ce donne par :

cste Ni
c
z
z
W
F
=

lment du circuit magntique reste constante au cours du dplacement, la contribution du fer


la cration de la variation de co
la variation de conergie
se situe principalem
= (I.29)
En prenant comme hypothse que la force magntomotrice aux bornes de chaque
nergie peut tre considre nulle.
Si en plus de ces hypothses, la permance de fuites est constante au cours du
dplacement, alors les phnomnes dentrefer sont prpondrants et
ent au niveau de lentrefer correspondant une zone purement linaire.
Dans ce cas, la force magntomotrice dentrefer porte linformation sur ltat global de
saturation de la machine. Lquation I.30 peut ainsi tre utilise pour le calcul de la force
dveloppe par la machine :

entr
ef 2
z
2
1
F
P
efer
ef
z
(I.30)
Pour dterminer la force, il su sommer les contributions des rluctances
dentrefer. Cette relation reste valable quelque soit ltat de saturation de la machine, cest la
force m
ffit de
agntomotrice ef qui varie en fonction de ltat de saturation [El Amraoui 01 b].
Cas linaire
Dans le cas dun fonctionnement en rgime linaire, les rluctances des tubes de flux
sont indpendantes du courant. Lvolution de la caractristique de flux magntique en
fonction de la force magntomotrice est reprsente sur la figure I.25.
_______________________________________________________________________________________________________________________________________
33
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________

1
(Wb)
(Ampre-tour)
Point de
fonctionnement
Position z
1

1

* * * * * * * * * * * * * * * *
* * * * * * * * * * * * * * *
* * * * * * * * * * * * *
* * * * * * * * * * * *
* * * * * * * * * *
* * * * * * * * *
* * * * ** * *
* * * * **
* * *
* *
*
*
*
Energie
magntique
Conergie
magn

tique
Figure I.25 : Ener

gie et conergie magntiques
cas linaire

Cette caractris mite par les droites
dquations =
1;
=
1
e ngles semblables se traduisant par
une galit entre lnergie et la conergie magntiques. Lquation I.22 permet ainsi dtablir
les rela
tique, linaire, divise la partie du plan li
t les axes et en deux tria
tions :
i
2
1
2
1
) z , i ( W ) z , i ( W c mag = = = (I.31)
Suivant les variables identifies, lquation (I.31) peut prendre diffrentes formes en
fonction de la rluctance du circuit magntique
inductance. Par dfinition, linductance L est donne par :
ou de sa permance P ou alors de son
= = N Li (I.32)
Comme i N = pour un systme une seule phase alors, linductance peut re donne par : t

=
2
N
L (I.33)
Il vient :
2
mag
) z ( , (I.34)
2
1
) z ( W = P
2
mag
) z (
2
1
) z , ( W = (I.35)
2
mag
i ) z ( L
2
1
) z , i ( W = (I.36)
La force en rgime linaire peut ainsi tre dtermine partir de la drive de lune des
quations I.34, I.35 ou I.36 par rapport au dplacement [Faucher 81]:
_______________________________________________________________________________________________________________________________________
34
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________
cste Ni=
(I.37)
=
(I.37)
2
z
) z (
2
1
) z , ( F

=
P
F

=
P

cste
2
z
) z (
2
1
) z , ( F
=

= (I.38)
cste i
2
i
z
) z ( L
2
1
) z , i ( F
=

=
Cette partie nous a permis dtablir les relations de bases permettant le calcul de la
grandeur de sortie de notre actionneur, la force de pousse.
I.4.2.2. Dtermination des
ste choisir la denture, le
nombre
une tige mobile cylindrique dont la longueur dpend de la course impose et sur laquelle
sont usines des gorges rgulirement espaces de faon crer une succession de dents
annu
n modules stator placs autour de la tige mobile et rgulirement espacs, spars par des
magntique prsentant deux plots formant les dents statoriques de lactionneur qui sont
. La figure I.26



Figure I.26 : Demi-coupe schmatique dun actionneur linaire
tubulaire rluctance variable n modules stator
(I.39)
caractristiques de construction
La structure retenue est linaire rluctante tubulaire et stator extrieur et, la
disposition gnrale de lactionneur pratiquement dfinie ; il re
de phases et la forme gnrale de la machine.
I.4.2.2.1. Disposition gnrale
Un actionneur linaire rluctant tubulaire comprend essentiellement [Schoorens 82]:
laires de pas dentaire, not
mobile
,
anneaux amagntiques. Chaque module stator comporte un bobinage et un circuit
situes de part et dautre du bobinage avec un pas dentaire, not
stator
prsente une coupe dun tel actionneur.





Module stator n
Axe mobile
Bobine
Module stator 1
Sparation amagntique

Stator

mobile
a
stator
a
mobile
c
b
stator
b
mobile
Module stator 2
_______________________________________________________________________________________________________________________________________
35
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
I.4.2.2.2. Choix de la denture
Le taux de recouvrement entre les dents du stator et celles de laxe mobile dtermine
leffet rluctant de la machine. En effet, un recouvrement total correspond une position des
dents alignes po n recouvrement
nul correspond une position de quinconce pour laquelle le flux et la permance sont
minima
est soumise une perturbation.
ur laquelle le flux et la permance sont maximaux, alors quu
ux.
La position aligne correspond un tat dquilibre stable caractris par le fait que la
partie mobile se maintient dans cette position lorsquelle est soumise une perturbation, alors
que la position de quinconce correspond un tat dquilibre instable, la partie mobile tendant
quitter cette position ds quelle
Entre une position aligne et une position de quinconce, la permance volue en
fonction de la forme des dents et de ltat magntique du circuit. En premire approximation,
nous supposons une variation sinusodale de la permance entre sa valeur maximale et sa
valeur minimale.
Avec cette hypothse dvolution sinusodale, la permance du circuit magntique
peut scrire sous la forme [Ben Amor 99]:

+ = cos
2
moy
P (I.40)
o z es
z 2
) z (
min max
P P
P
t un dplacement axial priodique de priode ,
moy
P la permance moyenne du circuit
magntique,
max
P
min
P sa perm sa permance maximale et ance minimale. Ainsi, daprs
lquation I.29, la force de pousse peut se mettre sous la forme:
2 min max
.
z 2
sin .
2


Les v ions de la permance et de la ce statique en fonction de la position du
mobile sont prsentes sur la figure I.27.
) z ( F

=
P P
(I.41)
olut for



_______________________________________________________________________________________________________________________________________
36
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________

Le choix de la largeur et de la rpartition des dents de lactionneur aussi bien au
niveau du mobile que du versibilit et la rgularit du
fonctionnement en mode pas pas. Ceci est garanti par le choix de pas dentaires gaux et de
mmes largeurs de dents et dencoches pour le mobile et les modules stator. Lvolution de la
caractristique de force statique dveloppe par lactionneur, en fonction de la position du
mobile est da
En effet, lingalit des pas dentaires et/ou des largeurs de dents et dencoches au
niveau des modules stator et/ou du mobile, figur

veau du mobile que du versibilit et la rgularit du
fonctionnement en mode pas pas. Ceci est garanti par le choix de pas dentaires gaux et de
mmes largeurs de dents et dencoches pour le mobile et les modules stator. Lvolution de la
caractristique de force statique dveloppe par lactionneur, en fonction de la position du
mobile est da
En effet, lingalit des pas dentaires et/ou des largeurs de dents et dencoches au
niveau des modules stator et/ou du mobile, figur

stator doit absolument assurer la r stator doit absolument assurer la r
ns ce cas priodique et avec la priode la plus courte possible. ns ce cas priodique et avec la priode la plus courte possible.
e I.28, cre des paliers de permance autour
des positions dquilibre induisant des zones mortes sur la caractristique de force statique,
sur lesquelles la partie mobile peut se dplacer librement, pratiquement sans effort, ceci altre
considrablement la prcision de positionnement de lactionneur et allonge sa priode de
fonctionnement.
e I.28, cre des paliers de permance autour
des positions dquilibre induisant des zones mortes sur la caractristique de force statique,
sur lesquelles la partie mobile peut se dplacer librement, pratiquement sans effort, ceci altre
considrablement la prcision de positionnement de lactionneur et allonge sa priode de
fonctionnement.
P
e
r
m

a
n
c
e
(
P
)
Position (z)
F
max
0 /2
F
min
Force
Permance
Position (z)
P
min
P
moy
0 /2
P
max
Figure I.27 : Variations de la permance et de la force en fonction de la position
_______________________________________________________________________________________________________________________________________
37
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________






a b
b a
a. Cas o a < b b. Cas o a > b
Figure I.28 : Module lmentaire de lactionneur

Ainsi la structure qui nous semble la plus intressante et que nous retenons est celle
prsentant le m me pas dentaire au niveau du mobile et des modules stator ainsi que la mme
largeur de dents et dencoches :
m
(I.42)
stator mobile stator
= = = = = (I.43)
I.4.2.2.3. Choix du no
our un pas dentaire donn, plus le nombre de modules stator est grand, plus le
fonctio
t exigs.
Pour assurer la priodicit du mouvement, les caractristiques des forces dveloppes
par les n phases de la machine doivent tre dc
/n.
Une structure module stator unique est couramment utilise pour les lectroaimants ;
cepend
ilibre, le retour tant souvent effectu par un ressort.

= =
stator obile
a
mobile
b b b a a
mbre de modules stator
P
nnement de la machine est prcis, mais plus la machine est longue et sa commande est
complexe. Dans tous les cas, un dmarrage sans ambigut et une inversion du sens de
dplacement sont imprativemen
ales dans le plan du mouvement de la fraction
Les diffrentes possibilits en nombre de modules stator sont scrutes afin de
dterminer une configuration convenable.
Cas dun seul module
ant, cette structure est incapable dentretenir un mouvement continu en pas pas. En
effet, lalimentation du module stator ne permet au mobile dacqurir quune seule position
dqu
_______________________________________________________________________________________________________________________________________
38
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________
Cas de deux modules
Si deux modules stator sont utiliss, ils sont magntiquement dcals entre eux de
/2+k que dvelopp par chacun des deux modules
alimen




Figure I.29 : Caractristiques statiques pour une structure deux phases

ous lactio intient le mobile
en position dquilibre stable la position M
1
. Si le courant dalimentation de la premire
phase est coup, et si la seconde est alimente, leffort dvelopp par la seconde phase F
2
est
ngatif et agit dans le mme sens que leffort rsistant. Ensuite, le mobile sarrte sur une
deuxim dquilibre, dsigne par M
2
et dcale de /2 par rapport la premire
M
1
. Lalimentation de nouve ne peut d lopper quun effort
F
1
ngatif imposant encore u er jusqu la position stable repre
par M
1
'dans le sens de leffort rsistant. Ainsi, quelle que soit la commande et bien que des
positions dquilibre s e
effort
. Les caractristiques de leffort stati
ts sparment sont reprsentes sur la figure I.29.


M
1
' M
2
M
1
-/2 0 /2
F
o
r
c
e

F
Position
r
F
1
F
2
P
o
s
i
t
i
o
n

i
n
i
t
i
a
l
e

Sens de dplacement sous leffet de F1 et F2
Sens de dplacement sous leffet de Fr



S n dun effort rsistant F
r
, la premire phase alimente ma
e position
au de la premire phase du stator ve
ne fois au mobile de se dplac
table soient atteintes, le mouvement ne peut se faire que dans le sens d
l rsistant. Une telle machine ne peut donc pas produire un mouvement bidirectionnel.
Cas de trois modules
La figure I.30 prsente les caractristiques statiques de forces F
1
, F
2
et F
3
dveloppes
par une structure trois modules stator agissant sparment. La force correspondant
lintersection de deux courbes defforts statiques dvelopps par deux phases conscutives de
lactionneur peut tre considre comme la force nominale F
nom
de lactionneur. En effet, en
_______________________________________________________________________________________________________________________________________
39
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
appliquant un effort rsistant F
r1,
infrieur F
nom
, quand la phase 1 est alimente, la position
dquilibre stable est en M
1
. Si la deuxime phase est alimente aprs coupure de la premire,
la force F
2
est suprieure F
r1
, elle amne donc le mobile la position stable M
2
. Quand la
phase 3 est alimente son tour, elle amne le mobile en M
3
et ainsi de suite. La squence
dalimentation dans lordre 1, 3, 2, permet de dplacer la tige en sens inverse de M
1
en M
3
'
puis en
Figure I.30 : is phases

Si leffort rsistant F
r
appliqu est suprieur F
no
, lorsque la p st excite, la
position dquilibre est N
1
phas st a entation de la
phase 1, F
2
est infrieur F
r2
et le mobile gli sous leffet de F
r2
jusquen N
2
Avec une
seule phase alimente la fois, il est donc possible dans ces conditions dobtenir la
rversibilit du mouvement.
Pour obtenir la rversibilit du mouvement il est donc indispensable doprer avec un
effort rsistant infrieur leffort nominal. Pour amliorer les performances de lactionneur, il
est donc int
M
2
'. A chaque commutation, le dplacement mcanique est de /3.
M
2
' M
3
' M
1
M
2
M
3
F
o
r
c
e

Position
F
r1
0 /3
2/3 -/3 -2/3
F
nom
F
r2
N
2
N
3
N
1
F
1
F
2
F
3




P
o
s
i
t
i
o
n

i
n
i
t
i
a
1, 3, 2 3
l
e

1, 2,

Caractristiques statiques pour une structure tro
hase 1 e
m 2
. Si la e 2 e lim
sse
im
ente aprs coupure de lalim
ressant daugmenter leffort nominal.


_______________________________________________________________________________________________________________________________________
40
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________
Cas de quatre modules
Pour une structure quatre modules stator magntiquement dcals de /4+k, les
caractristiques de forces statiques dveloppes sont prsentes sur la figure I.31 qui montre
laugmentation de leffort nominal par rapport une structure 3 phases.






Figure I.31 : Caractristiques statiques pour une structure quatre phases
La forme de la force de pousse reprsente en gras sur la figure I.31 est obtenue pour
ne force rsistante nulle.
Pour un nombre n de modules stator suprieur trois, lintersection de deux
aractristiques statiques successives dcales de /n donne un effort nominal dautant plus
roche de leffort maximal dvelopp par la machine que n est plus grand.
Sur la figure I.32, est prsente lvolution de leffort nominal de la machine par
rapport leffort maximal pour diffrents nombres de phases.
1,4, 3, 2 1, 2, 3, 4


F
o
r
c
e

F
F
nom
F
2
F
3
F
4 1




0 /4 /2 3/4
Position
F
max




u
c
p
_______________________________________________________________________________________________________________________________________
41
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
Figure I.32 :
ation de la force
nomina
mcanique /4.
I.4.2.2.4. Choix de la sparation entre les modules stator
Lutilisation de la machine en pas pas ncessite lemploi de plusieurs modules stator
identiques gomtriquement dcals par une sparation amagntique de largeur c. Cette
largeur est dtermine k prs et peut scrire sous la forme suivante [El Amraoui 01 a]:
Estimation de leffort nominal par rapport leffort maximal
en fonction du nombre de phases

Laugmentation du nombre de phases entrane donc laugment
le mais aussi la taille et le cot de la machine. Une structure quatre modules stator
est choisie assurant un mouvement rversible et rgulier de pas
+ = k c c 0 (I.44)
avec : et < < 0 c 0 { } ... 2 , 1 , 0 k ; le pas mcanique de la machine est alors donn par :
n
b c z
0
m

= = (I.45)
Le signe de la diffrence (c
0
-b) impose le sens de dplacement du mobile. Si cette
quantit est positive le dplacement se fait dans le mme sens que celui de lalimentation des
_______________________________________________________________________________________________________________________________________
42
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________
phases et dans le sens contraire sinon. En effet, pour lactionneur de la figure I.26, par
exemple, si les phases sont alimentes dans lordre (1,2,...n), le dplacement se fait de gauche
droite pour une longueur c
0
suprieure b.
Par ailleurs, les diffrents modules stator de la machine peuvent tre rpartis sur la
longueur de laxe suivant les besoins de lapplication. Pour que la machine fonctionne
correctement, il est important que le dcalage entre les modules de la machine soit
scrupuleusement respect.
Chaque module stator de la machine peut avoir plusieurs positions discrtes par
rapport lextrmit libre du premier module, lquation I.46 dcrit les diffrentes positions
pouvant tre prises par le p
ime
module de la machine :
(I.46)
La figure I.33 montre, titre dexemple, deux possibilits de placement du deuxime module
par rapport au premier repres par : z
0
(2) et z
5
(2).







Comme premire approche et dans un but de simplifier le problme, le choix de la
forme globale de la machine se fera sous l
teur
dterminer, R
e
dsigne le rayon moyen de lentrefer, e lpaisseur de lentrefer, R
ext
le rayon
extrieur de le machine, ec de la ulasse t h
dent

+ + + = k ) c b a 2 ( ) 1 p ( ) p ( z
k
c 2a+b+
0
k=0 k=5


Figure I.33 : Positions possibles pour le deuxime module stator
I.4.2.2.5. Forme gnrale de la machine
hypothse dun fonctionnement en rgime linaire.
Dans la figure I.34, prsentant toutes les dimensions axiales et radiales du mo
lpaisseur c e la hauteur de dents du mobile.


) 2 (
5
Z
(1)
) 2 ( Z
0
5
(2)
(2)
_______________________________________________________________________________________________________________________________________
43
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________







le lmentaire de lactionneur
La nrale de la machine est dtermine par rapport au rayon de lentrefer pour
n rayon extrieur fix. P les machines rotatives, il existe des for ions simples
permettant de dterminer une valeur optimise du rayon dentrefer par rapport au rayon
extrieur de la machine [Hoang 02]. Lune de ces formulations a pour objectif la
m misation du rapport couple statique sur pertes Joules. Cette formulation sera applique au
cas du moteur linaire tubulaire.
Calcul de leffort statique
Supposons que seules les lignes de cham
compte et que la totalit de la force magntomotrice est consomme par lentrefer. Dans ces
conditi au cours dun petit dplacement axial z, peut
tre d








Figure I.34 : Demi-coupe axiale du modu

forme g
u our mulat
axi
p passant au droit des dents sont prises en
ons, la force dveloppe par la machine
termine partir de lquation I.30. La permance dentrefer est suppose nulle en
position de quinconce o les dents sont compltement dcales. Cette permance est par
ailleurs prsume proportionnelle au dplacement. Ainsi, daprs les quations I.10 et I.26 la
variation de la permance totale dentrefer entre une position initiale correspondant des
dents en quinconce et une autre position repre par z est donne par :

e . 2
S .
0
e

= P (I.47)
Re
Module stator
r
u

z
u

R
ext
e
c
Module induit
e
R
a b c
moy
h
dent
_______________________________________________________________________________________________________________________________________
44
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________
o est la permabilit magnti e est lpaisseur de lentrefer et S sa section
moyenne donne par :
I.48)
partir des quations I.46, I.47 et I.48, leffort statique moyen dvelopp par la
achine scrit sous la forme suivante :
que de lair,
0

z . R . . 2 S
e
= (
A
m
e . 2
) Ni ( . R . .
F
2
e 0
z

= (I.49)
tous les Ampres-tours dalim tation sont ra ports lentrefer. Le courant dalimentation
peut tre exprim en fonction de la densit surfacique de courant J et de la section S
c
du fil de
cuivre par :
(I.50)
La section du fil conducteur peut tre exprime partir du coefficient de remplissage
de la bobine dalimentation ieur 1) et de sa section S
bob
. Cette dernire tant
fonction des p
en p
c
S . J i=
k
bob
(infr
aramtres gomtriques de la machine :
( ) ( ) ( )
N
2
N
S . k
S
e c xt e bob
bob bob
c
= = (I.51)
Ainsi lexpression de leffort moyen donne par lquation I.47 devient :

e
R e R . b . k +
( ) ( ) ( )
2
e c xt e
2
2
bob
2
e 0
z
2
e
R e R . b . k
e . 2
J . R . .
F +

= (I.52)

Calcul des pertes J oule
Les pertes Joule dveloppes par lenroulement de la machine se calculent partir de
lexpression (I.16), dans le ; elles sont donnes par : cas dun seul circuit lectrique
2
c
2 2
Joule
S . J . R i . R P = = (I.53)
o R est la rsistance de lenroulement de phase qui peut sexprimer en fonction de la
rsistivit lectrique du cuivre de la longueur moyenne l
moy
dune spire du bobinage, de sa
section S
c
et du nombre de spires N par :
N .
S
l .
R
c
moy
= (I.54)
La longueur moyenne dune spire circulaire est donne par :
)
2
moy
e
R e R .( R . . 2 l
e c ext moy
+ + = = (.55)
o R
moy
dsigne le rayon dune spire moyenne du bobinage reprsent sur la figure I.34.
_______________________________________________________________________________________________________________________________________
45
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
A partir des quations I.51, I.53, I.54 et I.55, les pertes Joule peuvent se mettre sous la forme :
( ) ( ) ( ) ( ) ( )
2
e
R e R .
2
e
R e R . b . k . J .
e c ext e c xt e bob
2
+ + + (I.56)
r pertes Joule est donn par :
. P
Joule
=
Coefficient de forme
Ainsi, le rapport force statique su
( ) ( ) ( )
( ) ( )
2
R e R
e
R e R . R
.
. e . 2
b . k .
P
F
e c ext
bob 0
Joule
z
+ +
+

=
e
2
e c ext e
(I.57)
Lpaisseur de lentrefer e tant petite devant son rayon moyen R
e
, le terme
2
e
peut
alors tre nglig devant R
e
; ainsi, la drive de lquation I.55 par rapport la variable R
e

mine permet de dter r le maximum du rapport
Joule
P
z
F
qui est atteint pour :
( )
c ext e
e R ). 1 2 ( R (I.58)
La culasse dune machine tubulaire est traverse par un flux axial capable de circuler
travers une paisseur de culasse trs rduite ; ainsi, le rel ( ) 1 2 = peut tre considr
comme un facteur de forme qui fixe le rapport optimal entre le rayon den
lchelle dun moteur linaire tubulaire
caractris par
trefer et le rayon
extrieur.
La figure I.35 prsente une coupe radiale
( ) 1 2 = pour une paisseur de culasse petite devant le rayon extrieur.









R
ext



R
ext
Figure I.35: Forme thorique optimale de la machine tubulaire
_______________________________________________________________________________________________________________________________________
46
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________
I.4.2.3. Dimensionnement dun premier prototype
La structure retenue est celle de lactionneur pas pas linaire tubulaire rluctance
variable quatre phases reprsente totalement sur la figure I.36.
gomtrique du prototype est fait en deux tapes et partir dun
module ensionnement axial
qui consiste choisir les dimensions axiales directement lies au pas mcanique de
dplacement du moteur, comme par exemple les largeur
tape consiste choisir les dimensions radiales en relations troites avec la force dveloppe
par la m
pas mcanique z
m

par :
(I.59)
Pour une structure choisie quadriphase et un pas mcanique dsir z de 2,54 mm,
aprs les quations I.43 et I.44, lpaisseur de la sparation amagntique c peut
prendre comme valeurs 2,54mm ou 7,62mm modulo 10,16mm. Pour minimiser
lencom rement de la machine, la largeur de sparation amagntique est prise gale
2,54mm
I.4.2.3.2. Dimensionnement radial
Le choix du rayon extrieur de la machin termine le rayon de son entrefer. Le
yon extrieur de la machine est impos par lencombrement dsir ; il est pris gal 46mm.
paisseur de la culasse peut, quant elle, tre choisie petite, vue la distribution radiale du
ux dans la machine ; toutefois, une paisseur minimale de 5mm est retenue afin de rpondre
une contrainte mcanique de rigidit.
Le rayon moyen optimal dentrefer, calcul partir de lquation I.58, est par
consquent gal
Le dimensionnement
lmentaire de la machine. La premire tape est relative au dim
s de dents et dencoches. La deuxime
achine, le courant dalimentation et les pertes Joule quil occasionne.
I.4.2.3.1. Dimensionnement axial
Daprs lquation I.44, le pas dentaire de la machine est li au
z n =
m
m
son pas dentaire est, daprs lquation I.59, de 10,16 mm.
Les largeurs de dent a et dencoche b sont lies au pas dentaire de lactionneur par
lquation :
a+b= (I.60)
si de plus elles sont choisies gales, il vient, a=b= 5,08mm.
D
b la
.
e d
ra
L
fl

17 mm.
_______________________________________________________________________________________________________________________________________
47
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________
Pour un entrefer dpaisseur 0,1mm, centr sur un rayon de 17mm, le rayon extrieur
de la pa
ent fixe 4mm. Ainsi, le
rayon i
ion prsent au
chapitre suivant a permis destimer la force de dmarrage de lactionneur aux environs de
20N.
res de la procdure de dimensionnement ou dans les tapes de conception et de
ralisation du prototyp
re I.36.
rspective b. demi-axiale
Figure I.36 : Structure retenue
rtie mobile est de 16,95mm et le rayon dalsage stator de 17,05 mm.
La hauteur des dents de la partie mobile est arbitrairem
ntrieur de larbre du moteur est de 13mm.
Ainsi, un bobinage de 560 spires de section 0,11mm
2
peut tre log dans lencoche
statorique. Pour un coefficient de remplissage de 0,5 et une densit de courant dalimentation
de 4,74A/mm
2
(les valeurs courantes tant comprises entre 4 et 5 A/mm
2
). La force ainsi que
ltat magntique de lactionneur ont t dtermins partir dun modle rseau de
rluctances construit dans un objectif de conception. Cet outil de concept
Toutes les dimensions radiales du moteur peuvent tre rajustes dans des phases
ultrieu
e afin de rpondre au mieux au cahier des charges.
I.4.2.3.3. Rcapitulatif des dimensions du prototype
Le prototype de moteur pas pas linaire tubulaire rluctance variable dimensionn
est reprsent sur la figu
A
a. vue en pe
A
A-A

P
h
a
s
e
s

d
e

l

i
n
d
u
c
t
e
u
r

Bobine
Sparation
amagntique


1


2

3


4
_______________________________________________________________________________________________________________________________________
48
I.4. Conception dun prototype de moteur pas pas linaire tubulaire rluctance variable
_____________________________________________________________________________________________________________________________________________________________________________________
Les dimensions gomtriques du prototype sont rassembles dans le tableau I.1. Pour
des raisons de commodit de ralisation, nous avons fix le rayon du mobile, R
e
, en rapport
avec le
retenu.
la
longue








rayon intrieur normalis des roulements billes. Un rayon R
e
de 15mm, le plus
proche possible du rayon optimal trouv, a donc t
Tableau I.1 : Paramtres gomtriques du prototype raliser



Paramtres gomtriques dsignations Dimensions (mm)

Largeur dencoche a 5,08

Largeur de dent b 5,08
Axial
Epaisseur de la sparation amagntique c 2,54
Epaisseur de la culasse e
c 5
Rayon dentrefer R
e 15,05
Hauteur dune dent du mobile h
dent 4
Radial
Epaisseur de lentrefer e 0,1
Rayon extrieur R
ext 46


La longueur active de la machine ainsi dimensionne est donc de 68,58mm,
ur de sa partie mobile dente est de 170,18mm ; ce qui correspond une course utile de
101,6mm.

_______________________________________________________________________________________________________________________________________
49
Chapitre I : Conception et commande des moteurs pas pas
_____________________________________________________________________________________________________________________________________________________________________________________


Aprs avoir rappel les pr gntique, nous
avons prsent la dm adopte pour le choix dune structure et le
mensionnement ype de moteur pas pas rpondant au cahier de charges
x.
La struc un moteur p pas linaire tubulaire rluctance
le quadriph re allie les avantages dun linaire attaque
irecte ce ort radia riquement n et la it de
fabrication dun
Une mthode exploitant le concept de conergie magntique a t prsente et utilise
pour l
structure compacte forme dune juxtaposition de modules statoriques avec un
space entre phases minimal a t prfre une solution distribue afin de rduire au
aximum la longueur de la machine.
Le prototype dimensionn dfini, ainsi que le banc dessais adapt sont alors construits
ans lobjectif dvaluer la validit des modles statiques et dynamique, prsents dans les
prochains chapitres, pour les structures pas pas linaires tubulaires rluctance variable.
Lapproche exprimentale offerte par ce banc constitue bien videmment une aide
rcieuse la comprhension et la connaissance de ce type dactionneurs.
I.5. CONCLUSION
incipaux modles de conception lectroma
arche que nous avons
di dun premier protot
fi
ture retenue est celle d as
variab ase. Cette structu moteur
d ux dune forme tubulaire eff l tho ul simplic
e m ce variable. achine rluctan
estimation de la force dveloppe par lactionneur. Un coefficient de forme fixant le
rapport optimal entre le diamtre extrieur de lactionneur et son diamtre dentrefer a t
dfini. Une
e
m
d
p

_______________________________________________________________________________________________________________________________________
50






















CHAPITRE II :
METHODOLOGIE PROPOSEE
POUR LA CONCEPTION OPTIMISEE




Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
TABLE DES MATIERES
II.1. INTRODUCTION.............................................................................................................. 51
II.2. DIMENSIONNEMENT PAR RESEAUX DE RELUCTANCES-NECESSITE DAFFINEMENT DU
MODELE......................................................................................................................... 52
II.2.1. Modle Linaire..................................................................................................... 53
II.2.2. Prise en compte de la saturation............................................................................ 55
II.2.3. Prise en compte des fuites dencoche.................................................................... 56
II.2.4. Couplage et Dimensionnement thermique............................................................ 58
II.2.5. Prdetermination des performances de la machine............................................... 59
II.2.5.1. Distribution des flux....................................................................................... 59
II.2.5.2. Rluctance dentrefer...................................................................................... 61
II.2.5.3. Calcul de la force statique.............................................................................. 61
II.3. CARACTERISATION DE LA MACHINE PAR LA METHODE DES ELEMENTS FINIS.................. 63
II.3.1. Introduction........................................................................................................... 63
II.3.2. Estimation des performances de la machine.......................................................... 63
II.3.2.1. Formulation du problme magntostatique.................................................... 64
II.3.2.2. Distribution des flux magntiques.................................................................. 65
II.3.2.3. Rluctance dentrefer...................................................................................... 67
II.3.2.4. Calcul de force de pousse............................................................................. 67
II.3.2.4.1. Dtermination de la force par le tenseur de Maxwell.............................. 67
Rsultats de Simulations par lments finis......................................................... 69
Suppression des oscillations................................................................................. 70
Corrlation entre lamplitude de la force et le taux de dformation du maillage. 72
II.3.2.4.2. Calcul de la force en utilisant la conergie.............................................. 75
II.3.2.4.3. Comparaison des deux mthodes bases sur les rsultats lments finis76
II.3.3. Comparaison du modle rseau de rluctances au modle elements finis............ 78
II.3.3.1. Introduction.................................................................................................... 78
II.3.3.2. Comparaison des flux..................................................................................... 79
II.3.3.3. Comparaison des rluctances dentrefer......................................................... 79
II.3.3.4. Comparaison des forces.................................................................................. 80
II.3.3.5. Conclusion...................................................................................................... 81
II.4. ETUDE EXPERIMENTALE, COMPARAISON DES RESULTATS PRATIQUES ET THEORIQUES.. 82
II.4.1. Introduction........................................................................................................... 82
II.4.2. Prsentation du banc dessais................................................................................ 82
II.4.3. Rsultats obtenus................................................................................................... 84
II.4.3.1. Essais thermiques........................................................................................... 84
II.4.3.2. Caractristique statique de force..................................................................... 86
II.4.4. Comparaison des rsultats exprimentaux avec ceux obtenus par simulation...... 86
II.5. OPTIMISATION ET ADAPTATION DE LA GEOMETRIE AU CAHIER DES CHARGES................ 88
II.5.1. Approche propose pour loptimisation................................................................ 88
II.5.1.1. Introduction.................................................................................................... 88
II.5.1.2. Prsentation de la mthode des plans dexpriences...................................... 88
II.5.1.3. Choix des expriences.................................................................................... 89
II.5.1.4. Plans factoriels complets................................................................................ 89
II.5.1.5. Plans fractionnaires......................................................................................... 91
II.5.1.6. Plan treillis...................................................................................................... 94
II.5.1.7. Conclusion...................................................................................................... 95
II.5.2. Formulation du problme doptimisation trait..................................................... 96
II.5.2.1. Dtermination des facteurs influents sur la force de pousse......................... 98
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
II.2. Dimensionnement par rseaux de rluctances-ncessit daffinement du modle
_____________________________________________________________________________________________________________________________________________________________________________________

II.5.2.2. Dtermination de la force par exploration du domaine dtude................... 100
II.5.3. Adaptation de la gomtrie au cahier des charges............................................... 103
II.5.4. Etude de la sensibilit des paramtres gomtriques........................................... 106
finaux-zone de stabilit....................................................................................... 106
II.5.4.1. Notion de bruit et de robustesse................................................................... 106
II.5.4.2. Plans produits et rapport signal sur bruit...................................................... 107
II.5.4.3. Etude de la sensibilit de la structure aux bruits de modlisation................ 109
II.5.4.4. Etude de la sensibilit de la structure aux bruits de construction................. 113
II.5.4.5. Dtermination dune zone de stabilit.......................................................... 116
II.6. ELABORATION DUNE METHODOLOGIE DE CONCEPTION OPTIMISEE............................. 119
II.7. CONCLUSION............................................................................................................... 123

_____________________________________________________________________________________________________________________________________________________________________________________





Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
II.1. INTRODUCTION

Dans ce chapitre, nous prsentons la mthodologie de conception optimise que nous
avons dveloppe pour les structures lectromagntiques. Cette mthodologie se base
essentiellement sur lutilisation dun modle rapide de dimensionnement, dun modle fin de
calcul de performances et dune mthode doptimisation.
La mthodologie prsente est applique la conception optimise de lactionneur pas
pas linaire tubulaire rluctance variable retenu au premier chapitre pour la motorisation
dun chariot support de perceuse lectrique.
Pour ce faire, un modle rseaux de rluctances est dvelopp pour le
dimensionnement prliminaire de ce type dactionneurs. Ce rseau est valid par comparaison
aux calculs lments finis. Un prototype et un banc dessais sont spcialement construits dans
le but de valider lensemble de ces calculs. Ensuite, une nouvelle gomtrie du dispositif est
introduite. De nombreux degrs de libert sont ajouts la gomtrie initiale, dans le but
daugmenter les possibilits dadaptation de la structure et donc ses performances. La
mthode des plans dexpriences est enfin succinctement prsente et applique pour
loptimisation de lactionneur tudi.
La dmarche doptimisation est envisage en trois tapes. La premire tape consiste
dterminer les facteurs les plus influents pour lobjectif retenu. Ces facteurs sont alors
optimiss, pour maximiser les performances de lactionneur dans un encombrement constant.
Dans une deuxime tape, la gomtrie de lactionneur performances maximises est
radapte au cahier de charges en rduisant son encombrement chauffement constant. Dans
une troisime tape, la sensibilit de la structure retenue aux bruits de modlisation et de
construction est tudie. Cette tude permettra de dterminer une zone de stabilit dfinissant
un ensemble de gomtries optimales aussi bien en terme de performances quen terme de
robustesse.


_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
51
II.2. Dimensionnement par rseaux de rluctances-ncessit daffinement du modle
_____________________________________________________________________________________________________________________________________________________________________________________
II.2. DIMENSIONNEMENT PAR RESEAUX DE RELUCTANCES-
NECESSITE DAFFINEMENT DU MODELE
La mthode des rseaux de rluctances repose sur une simplification gomtrique et
une dcomposition morphologique du circuit magntique [Blent Ertan 99]. Cependant,
lorsque la saturation magntique est prise en compte, et lidentification des rluctances
conduite avec soin, elle fournit trs rapidement des rsultats de bonne qualit.
Le modle rseau de rluctances labor pour lactionneur linaire est dtermin
partir de la rpartition des tubes de flux lintrieur du circuit magntique, la premire tape
de la modlisation consiste donc reprer les principaux tubes de flux. A chacun deux, est
associe une rluctance dont la valeur dpend du matriau magntique dune part et de la
gomtrie du tube de flux considr dautre part.
Le rseau de rluctance est tabli de manire prendre en compte au mieux les
possibilits de trajectoires des lignes de champ magntique, tout en restant suffisamment
restreint pour ne pas alourdir la mise en dquations.
Le rseau de rluctances modlisant la structure tubulaire tudie est construit en trois
tapes avec des degrs de complexit croissants : dabord, sous une hypothse de linarit du
circuit magntique, ensuite avec prise en compte de la saturation magntique et enfin en
ajoutant leffet des fuites dencoche.
Pour les trois tapes de modlisation, les lignes de champs sont supposes passer par
la zone dentrefer minimum et tre radialement orientes, figure II.1.

Stator
entrefer
Partie mobile
Figure II.1 : Hypothse de distribution des lignes de champs dans lentrefer
_____________________________________________________________________________________________________________________________________________________________________________________
52
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
La prsence de sparations amagntiques entre les diffrentes phases statoriques de
lactionneur tudi, permet de supposer, dans un premier temps, que les phases sont
magntiquement dcouples. Ainsi, le domaine dtude est rduit un module stator plac
face laxe dent.
II.2.1. MODELE LINEAIRE
Pour le cas linaire le circuit magntique du module lmentaire de la machine peut
tre modlis par le rseau de rluctances de la figure II.2, en supposant que la permabilit
du fer est constante.







dm

c
Ni

dm

ds

ds
Figure II.2 : Modlisation par rseau de rluctances
Dans cette figure est le flux cr dans le circuit magntique,
c
,
a
,
ds,

dm,
sont
respectivement la rluctance de la culasse, celle de larbre du mobile, celle dune dent du
stator et celle dune dent du mobil et
e
une rluctance dentrefer proportionnelle la zone de
recouvrement entre une dent du mobile et une dent du stator. Les deux premires sont
traverses par un flux axial alors que les autres sont traverses par un flux radial.
Les diffrentes rluctances du rseau de la figure II.2 tant calcules partir de
lquation I.10 pour un cas linaire o la permabilit magntique du matriau et la section
de la rluctance ne dpendent pas de labscisse curviligne dl, lexpression de chacune de ces
rluctances se rduit :
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
53
II.2. Dimensionnement par rseaux de rluctances-ncessit daffinement du modle
_____________________________________________________________________________________________________________________________________________________________________________________

i
i
i
i
S
L
1

= (II.1)
o
i
est la permabilit magntique du matriau, L
i
la longueur de llment travers par le
flux et S
i
sa section moyenne. L
i
et S
i
sexpriment diffremment selon lorientation de la
rluctance. Dans le cas dune rluctance radiale, figure II.3.a, elles sont donnes par :

2 1 i
R R L = (II.2)

i
2 1
i
l
2
R R
2 S
+
= (II.3)
Dans le cas dune rluctance axiale, figure II.3.b, elles sont donnes par :
(II.4)
i i
l L =
( )
2
2
2
1 i
R R S = (II.5)

a. Rluctance radiale b. Rluctance axiale
l
i
R1
R2

R1

R2

l
i






Figure II.3 : Dispositions des rluctances
Sur le rseau de rluctances de la figure II.2,
c
et
a
sont des rluctances axiales
alors que
ds,

e
et
dm
sont des rluctances radiales.
Le matriau magntique utilis est suppos avoir une permabilit relative constante
de 1000Henry/mtre, Dans ces conditions, le calcul des rluctances partir des expressions
(II.1), (II.2), (II.3), (II.4) et (II.5) est simple et rapide. Nanmoins, lhypothse de linarit du
matriau magntique est trs restrictive vu que les machines rluctance variable sont
_____________________________________________________________________________________________________________________________________________________________________________________
54
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
gnralement utilises en rgime de forte saturation afin daugmenter leurs puissances
volumiques.
II.2.2. PRISE EN COMPTE DE LA SATURATION
Pour le calcul des rluctances non linaires qui dpendent de la courbe du matriau
B(H), ou plus prcisment de la courbe de premire aimantation en ngligeant leffet
dhystrsis, la dmarche suivie est prsente ci-dessous.:
La pente
i
de la courbe moyenne de premire aimantation B=
i
H est approxime au
sens des moindres carrs par lexpression II.6, permettant de dterminer la
permabilit magntique en fonction de linduction magntique B [Marroco 90].
i

( )

+
+

2
2
0 i
B
B
c
1 1
(II.6)
c, , et sont les coefficients dterminer de telle sorte que la caractristique B(H)
construite analytiquement soit la plus proche possible de la caractristique relle.
Ensuite, une mthode itrative est utilise afin destimer ltat de saturation de chaque
rluctance et dterminer les valeurs des diffrentes permabilits ( ).
i

Chaque valeur trouve de est, enfin, introduite dans la relation II.1 qui permet de
calculer la rluctance de la portion de circuit magntique correspondante, en supposant
que linduction magntique est homogne dans chacun des tubes de flux reprsent par
une rluctance. Cette hypothse peut savrer restrictive dans les zones de saturation
locale ; dans ce cas, le circuit magntique doit tre dcompos davantage en tubes de
flux de dimensions plus rduites. Il est galement possible de tenir compte de la
variation de labscisse curviligne dl de lexpression I.10 pour le calcul des rluctances
radiales [Lacroux 89], [Khan 92], [Bianchi 01].
i

Pour le matriau considr, les coefficients de lquation non linaire II.6 sont :
=1,1e
-4
; c=1 ; =5,23 et =7,02e
4
.
La figure II.4 prsente la caractristique de premire aimantation du matriau
ferromagntique utilis ainsi que celle obtenue par exploitation de la formule II.6.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
55
II.2. Dimensionnement par rseaux de rluctances-ncessit daffinement du modle
_____________________________________________________________________________________________________________________________________________________________________________________
0,00
0,50
1,00
1,50
2,00
0 20000 40000 60000 80000 100000 120000
H (A/m)
B

(
T
)
Courbe identif ie B=f (H)

Figure II.4: Courbe de premire aimantation du matriau ferromagntique
Cette premire amlioration du modle permet de prendre en compte la gomtrie de
la machine et dintgrer les non-linarits dues la saturation des matriaux magntiques. La
deuxime amlioration consiste modliser les fuites dencoches et les introduire dans le
modle rseau de rluctances.
II.2.3. PRISE EN COMPTE DES FUITES DENCOCHE
Pour tenir compte des fuites dencoche traversant la bobine stator, le circuit
magntique dun module lmentaire de la machine est modlis par le rseau de rluctance
de la figure II.5, comportant une boucle principale reprsente en trait gras et une branche de
fuites reprsente en trait fin. Les rluctances non linaires sont symbolises par des
rectangles barrs signifiant que celles-ci varient en fonction de ltat de saturation.





ds

c
Ni

dm

ds

dm

Figure II.5 : Rseau de rluctance tenant compte des fuites dencoche et de la saturation
_____________________________________________________________________________________________________________________________________________________________________________________
56
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________

b
est la rluctance de fuites travers la bobine dalimentation. est le flux total cr
dans le circuit magntique,
1
la quantit de flux utile qui atteint la partie mobile de la
machine via lentrefer et
2
le flux de fuites dencoche.
La dtermination du flux totalis
2
, travers la bobine alimente, figure II.5, permet
de calculer la rluctance de fuite
b
qui lui est lie par lexpression [J ufer 95] :
i
N
N
b
2
2 2

= = (II.7)
o
2
est le flux de fuite par spire et N le nombre de spires de la bobine. Llment de flux
totalis associ un niveau 'r' de la bobine sur une hauteur 'dr', figure II.6, peut scrire
pour un contour 2(r +r
2
d
0
) sous la forme suivante :
(II.8) dr ) r r ( 2 ) r ( H ) r ( N d
0 0 2
+ =
Le nombre de spires en fonction de la position, N(r) a pour expression:

b
R
r
N ) r ( N = (II.9)
Le champ magntique H(r) sexprime en fonction de la densit de courant par:

=
r
0
0
d J b
b
1
) r ( H (II.10)
b
0
tant la largeur de la bobine loge dans lencoche statorique de largeur b.

b
r
0
r
u

z
u

Bobine
b
0
r
dr
R
b
Figure II.6 : Dimensions de la bobine
Sachant que :
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
57
II.2. Dimensionnement par rseaux de rluctances-ncessit daffinement du modle
_____________________________________________________________________________________________________________________________________________________________________________________
J b
0
R
b
=Ni (II.11)
lexpression du flux totalis devient alors :

+ =
b
R
0
0
0
0
b
2
dr ) r r ( 2 J r
b
b
R
r
N (II.12)
qui peut tre crite aussi sous la forme :
) R r
3
1
R
4
1
( R J b
b
N
2
b 0
2
b b 0 0
2
+ = (II.13)
La rluctance de fuites de la bobine est alors donne par lexpression :

) r 4 R 3 ( R
b 6
0 b b 0
b
+
= (II.14)
La prise en compte de la non-linarit du matriau ainsi que des fuites dencoche
augmente la prcision du modle analytique.
II.2.4. COUPLAGE ET DIMENSIONNEMENT THERMIQUE
Le dimensionnement thermique de lactionneur est fortement li ses caractristiques
lectriques et gomtriques.
Dans ce sens, lobjectif de cette partie est de dterminer les caractristiques lectriques
de lactionneur lui permettant de raliser les exigences sur les performances tout en gardant
un comportement thermique acceptable compte tenu de sa gomtrie. La dmarche suivie
consiste donc :
Initialiser le problme, en dterminant la surface disponible pour le bobinage partir
du dimensionnement gomtrique, et en faisant une estimation, dune part, du nombre
dAmpres-tours Ni, ncessaires lactionneur pour dvelopper leffort de dmarrage
qui est exig, et dautre part, de la tension dalimentation U, de la densit de courant ,
du coefficient de foisonnement K
f
et de la temprature de fonctionnement T
f
,
Dterminer la section normalise du fil s
norm
ainsi que le nombre de spires du bobinage
N et valuer les pertes par effet J oule P
J oule
.
Vrifier la conformit des rsultats avec les estimations et les choix faits dans la
phase dinitialisation.
_____________________________________________________________________________________________________________________________________________________________________________________
58
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
Pour U=10V, Ni=300Atr, N=530Spires, =4,53Amm
-1
et s
norm
=0,13mm
2
, K
f
=0,52, T
f
=90C,
on a, P
J oule
=3,97W pour une surface dchange thermique de 44,05cm
2
. Ces pertes sont
tolrables vu quelles sont infrieures la limite fixe 1W par 6,5cm
2
pour un moteur ouvert
[Liwshitz 67], [Grellet 97].
II.2.5. PREDETERMINATION DES PERFORMANCES DE LA MACHINE
Un solveur de dimensionnement est labor sous un environnement Excel, offrant la
possibilit de programmer des macros en Visuel Basic, partir des quations analytiques
prsentes prcdemment. Ce solveur permet de simuler le comportement lectromagntique
et thermique de la machine partir dun rseau de rluctances. Le calcul des rluctances est
compltement paramtr, ainsi pour tout changement des entres du solveur, position de la
partie mobile, dimensions gomtriques, courbe de premire aimantation du matriau,
courant dalimentation de la bobine, les rponses sont calcules instantanment. Les
quations analytiques programmes permettent de dterminer les inductions moyennes, les
flux magntiques, les rluctances, les forces magntomotrices aux bornes de chaque
rluctance, lchauffement des bobines ...
II.2.5.1. Distribution des flux
Les lois de Kirshoff classiques sont utilises pour dterminer les flux magntiques
circulant dans le rseau de rluctances de la figure II.5. La figure II.7 prsente une
comparaison des caractristiques de flux utile en fonction du dcalage entre dents du stator et
dents du mobile dtermines partir des trois modles rseaux de rluctances labors. Ces
caractristiques illustrent linfluence de la prise en compte de la non-linarit et des fuites
dencoche sur le modle de lactionneur.



_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
59
II.2. Dimensionnement par rseaux de rluctances-ncessit daffinement du modle
_____________________________________________________________________________________________________________________________________________________________________________________
0
0,2
0,4
0,6
0,8
0 25 50 75 100
Dcalage (%)
F
l
u
x

(
m
W
b
)
Linaire Non Linaire Non Linaire avec Fuites

Figure II.7 : Evolution du flux magntique dans la partie mobile de lactionneur
Les volutions des diffrents flux circulant dans le rseau de la figure II.5, en fonction
du dcalage entre les dents du stator et celles du mobile pour le cas non linaire avec prise en
compte des fuites dencoche sont reprsentes sur la figure II.8.

0
0,2
0,4
0,6
0,8
0 25 50 75
Dcalage (%)
F
l
u
x

(
m
W
b
)
f i2
2
f i f i

1
1
100






Figure II.8 : Evolution des flux dans le rseau de rluctances
Daprs la figure II.8, il est bien clair que la somme du flux utile
1
et du flux de fuite

2
est gale au flux total ; en effet, les seules fuites prises en compte par le modle sont
celles de lencoche statorique.
_____________________________________________________________________________________________________________________________________________________________________________________
60
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
Le modle analytique construit ayant pour objectif un dimensionnement rapide de
lactionneur, sa complexit est juge suffisante. Lerreur commise par ce modle analytique
sera par la suite estime par rapport au modle numrique de rfrence, le modle lments
finis.
II.2.5.2. Rluctance dentrefer
La figure II.9 prsente lvolution de la rluctance dentrefer dtermine partir de
lexpression II.1. pour une permabilit magntique
i
gale celle de lair, une longueur L
i

gale lpaisseur de lentrefer et une section moyenne dentrefer S
i
proportionnelle la
largeur de la zone de recouvrement entre les dents du mobile et celles de la phase alimente.
0
1
2
3
4
5
6
7
0 25 50 75 100
Dcalage (%)
R

l
u
c
t
a
n
c
e

(
M
H
e
n
r
y
-
1
)

Figure II.9 : Evolution de la rluctance dentrefer dans la machine
La comparaison de lvolution de la rluctance dentrefer calcule partir du modle
analytique avec celle dtermine partir du modle numrique permettra de vrifier la
validit des hypothses de dimensionnement.
Par ailleurs, il est noter que la variation de cette rluctance dune position du mobile
une autre est lorigine de la cration de la force de translation axiale utile pour le
dplacement.
II.2.5.3. Calcul de la force statique
La force de translation est calcule, pour une position donne du mobile, partir de la
rsolution du problme lectromagntique pour deux positions dcales entre elles de 2% et
quidistantes de la position considre.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
61
II.2. Dimensionnement par rseaux de rluctances-ncessit daffinement du modle
_____________________________________________________________________________________________________________________________________________________________________________________
La dtermination des diffrentes permances et forces magntomotrices relatives au
rseau de rluctances de la figure II.5 permet de calculer les diffrents termes de lexpression
I.28 pour plusieurs positions du mobile. La contribution des fuites dencoches est nulle
cause de la constance de leur permance au cours du mouvement. Les contributions du fer et
a. Cas linaire
de lentrefer sont reprsentes sur la figure II.10, pour le cas linaire et le cas non linaire.
Evolution de la force
Les rsultats illustrs p e part, lhypothse de
prpondrance des phnomnes dentrefer dans cette machine rluctance variable et
-30
-25
-20
-15
-10
-5
0
5
0 25 50 75 100
Dcalage (%)
F
o
r
c
e

(
N
)
Force cre dans l'entrefer Force cre dans le fer
-30
-25
-20
-15
-10
-5
0
5
0 25 50 75 100
Dcalage (%)
F
o
r
c
e

(
N
)
Force cre dans l'entrefer Force cre dans le fer
b. Cas non linaire avec prise en compte des fuites dencoche
Figure II.10 :
ar la figure II.10 valident, dun
montrent, dautre part, linfluence de la prise en compte de la non-linarit magntique et des
fuites dencoches sur le calcul de force.
_____________________________________________________________________________________________________________________________________________________________________________________
62
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
II.3. CARACTERISATION DE LA MACHINE PAR LA METHODE DES
ELEMENTS FINIS
II.3.1. INTRODUCTION
Parmi les nombreuses mthodes de modlisation lectromagntique, la mthode des
lments finis est une mthode de rfrence qui sest impose par la prcision des rsultats
quelle fournit. Cependant, la prcision des rsultats numriques obtenus reste parfois
insuffisante vis vis de la mthode dexploitation [El Amraoui 02 f].
En effet, la mthode des lments finis consiste rechercher une solution approche
du problme lectromagntique sous la forme dun champ dfini par morceaux sur des sous
domaines dtude (mailles). La solution approche est compltement dtermine partir des
valeurs prises par la solution aux diffrents nuds du maillage.
Le problme lectromagntique peut tre rsolu en potentiel magntique scalaire, en
potentiel magntique vecteur ou sous forme tensorielle. Dans le cas des structures tubulaires,
la possibilit de rsoudre le problme en A r , est offerte par le solveur statique du logiciel PC-
Opra 2D, o r est la coordonne radiale du repre axisymtrique et A le potentiel
magntique vecteur, les rsultats ainsi obtenus tant plus faciles interprter.
II.3.2. ESTIMATION DES PERFORMANCES DE LA MACHINE
La recherche dun maillage permettant dapporter une solution prcise au problme de
dtermination de la caractrisation statique de la force par lments finis pour une gamme
dactionneurs lectriques linaires tubulaires, constitue lobjet de cette partie.
Comme pour la modlisation par rseaux de rluctances, le domaine dtude est rduit
un module stator face une portion de partie mobile.
Le maillage considr, figure II.11, est choisi dense et rgulier, sous forme de deux
bandes de triangles rectangles juxtaposes au niveau de lentrefer et libre lextrieur de
celui-ci.



_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
63
II.3. Caractrisation de la machine par la mthode des lments finis
_____________________________________________________________________________________________________________________________________________________________________________________







Figure II.11 : Maillage relatif une phase
II.3.2.1. Formulation du problme magntostatique
Daprs lquation de Maxwell, on a :
0 B div =

(II.15)
le vecteur induction magntiqueB

pouvant sexprimer sous la forme dun rotationnel du


vecteur potentiel vecteur A

[Meunier 88] :
A rot B

= (II.16)
Ainsi, pour une rluctivit magntique, il vient [Nathan 92] :
J ) A rot ( rot

= (II.17)
A

et J tant respectivement, le potentiel vecteur et la densit de courant, donns pour un


systme de rvolution, dans un repre axisymtrique

) u , u , u (
z r

par :
(II.18)

= u A A

= u J J

(II.19)
Lquation II.17 conduit la relation [Reece 00]:
J
z
) rA (
r z r
) rA (
r r
=

(II.20)
_____________________________________________________________________________________________________________________________________________________________________________________
64
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
quation identique celle en 2D plan avec
r
'

= et A r ' A= et possdant une solution unique
compte tenu du fait que lquation Div(A)=0 est implicitement satisfaite [Gieras 02].
II.3.2.2. Distribution des flux magntiques
Lexpression du flux dinduction travers une section S dun dispositif
lectromagntique peut se mettre sous la forme suivante :

= = =
L S S
dl . A ds . A rot ds . B

(II.21)
L tant le contour ferm sur lequel sappuie S.
Pour un problme lectromagntique axisymtrique, cette expression du flux
traversant la surface de rvolution engendre par un segment [P1 P2] de la coupe axiale de la
structure tudie, figure II.12, se simplifie et devient :
( ) ( ) ] [
1 P 2 P
rA rA 2 = (II.22)
cette expression est utilise pour le calcul de la rpartition du flux magntique dans les
diffrentes parties de la machine : dans la culasse de la machine,
1
dans la partie mobile et

2
dans lencoche statorique. Les lignes disovaleurs du potentiel modifi rA sont donc les
lignes quiflux.








z
r
dr
P1
P2
Figure II.12 : Distribution du flux dans lentrefer

La figure II.13 montre la distribution des lignes de flux dans le circuit magntique,
pour une position aligne, la non-linarit du matriau tant prise en compte.

_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
65
II.3. Caractrisation de la machine par la mthode des lments finis
_____________________________________________________________________________________________________________________________________________________________________________________

1

Figure II.13 : Distribution des lignes dinduction dans le circuit magntique
Une centaine dautres positions, chacune dcale par rapport la prcdente dun
centime de largeur de dent statorique, est considre afin de dterminer lvolution des
grandeurs magntiques en fonction du dcalage entre les dents stator et celles du mobile.
Sur la figure II.14, est reprsente lvolution des flux magntiques dans les
diffrentes parties de la machine pour le mme courant dalimentation 300Ampres-tours dj
considr pour les simulations analytiques partir du rseau de rluctances.






0
0,2
0,4
0,6
0,8
0 25 50 75
Dcalage (%)
F
l
u
x

(
m
W
b
)
f i2
2
f i1
1
f i
100
Figure II.14 : Distribution du flux dans le circuit magntique
La figure II.14 illustre la distribution du flux total en flux utile
1
,passant travers
larbre de lactionneur, et en un flux de fuite dencoche
2
. Pour des dcalages voisins de
100%, lgalit =
1
+
2
nest plus vrifie car quelques lignes de flux se ferment dans
lentrefer sans passer par larbre de lactionneur ni par la bobine.
_____________________________________________________________________________________________________________________________________________________________________________________
66
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
II.3.2.3. Rluctance dentrefer
Les lignes de flux circulant dans lentrefer de la machine sont radialement orientes
aux droits des dents. La rluctance moyenne dentrefer
ef
peut ainsi tre calcule partir des
expressions I.6, II.21 et II.22, formules respectivement dans un plan axisymtrique. Il vient :
( ) ( ) ] [
1 P 2 P
r
ef
rA rA 2
dr H

=

(II.23)
r
H tant la composante radiale du vecteur champ magntique et dr un dplacement radial
dans lentrefer de la machine sur une ligne dinduction moyenne de la zone active,
figure II.12. Lvolution de la rluctance dentrefer en fonction du dcalage, calcule partir
de lquation II.23, est prsente sur la figure II.15.
0
0,5
1
1,5
2
2,5
3
0 25 50 75 100
Dcalage (%)
R

l
u
c
t
a
n
c
e

(
M
h
e
n
r
y
-
1
)


Figure II.15 : Evolution de la rluctance dentrefer
La comparaison de cette caractristique avec celle dtermine partir du rseau de
rluctances est faite au paragraphe II.3.3.3.
II.3.2.4. Calcul de force de pousse
La caractristique statique de force dveloppe par lactionneur tudi est obtenue
partir de la rsolution en potentiel vecteur du problme lectromagntique par la mthode des
lments finis. Le tenseur de Maxwell et la mthode de conergie sont exploits pour le calcul
de cette force pour diffrents types de maillages. Les rsultats obtenus sont analyss et
compars entre eux dans cette partie.
II.3.2.4.1. Dtermination de la force par le tenseur de Maxwell
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
67
II.3. Caractrisation de la machine par la mthode des lments finis
_____________________________________________________________________________________________________________________________________________________________________________________
A partir du modle lments finis, la force de pousse F

peut tre value par le


tenseur de Maxwell qui scrit sous la forme gnralise suivante [MacFee 88], [Miller 89],
[Binns 92], [Eastham 92], [Hu 02], [EL Amraoui 02 e] :
H ) n . H ( n H
2

dS
F d
0
2
0

+ = (II.24)
o dS est un contour ferm passant lintrieur de lentrefer pouvant tre cylindrique de
rayon R, pour une structure axi-symtrique, figure II.16, n

tant un vecteur unitaire normal


dS, orient dans ce cas radialement.

R
dz
d
dS
r
u

z
u

u

Entrefer
Partie mobile
Stator
Figure II.16: Surface dintgration du tenseur de Maxwell
Lexpression du contour ferm dS est donne en fonction du dplacement longitudinal dz par:
dS =R d dz (II.25)
A lintrieur de lentrefer qui est une zone linaire du circuit magntique, le vecteur
champ magntique est li au vecteur induction par lquation :
H B 0

= (II.26)
Par ailleurs, dans un repre axisymtrique, le vecteur champ dinduction prsente
gnralement deux composantes, dans la direction radiale et la direction axiale, dsignes
respectivement par et . Ainsi, la projection de lexpression II.24, sur ces directions
donne :
r B z B
_____________________________________________________________________________________________________________________________________________________________________________________
68
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
( )
z r
0 z
B B
1
dS
dF

= (II.27)
( )
2
0
2
r
0 r
B
2
1
B
1
dS
dF

= (II.28)
Il vient alors lexpression de la composante axiale de la force de pousse :
dz B B R

2
F
z r
0
z

= (II.29)
ainsi que celle correspondant la composante radiale est donne par :
( )dz B B R

2
F
2
2
r
0
r

= (II.30)
Il est remarquer que, la qualit du maillage, en particulier lintrieur de lentrefer, a
une grande influence sur 1a prcision du calcul de la force obtenue partir du tenseur de
Maxwell [Ratnajeevan 88], [Desesquelles 88], [Imhoff 89], [Mller 90], [Sadowski 93],
[Allgre 98].
Rsultats de Simulations par lments finis
Pour un maillage rgulier de lentrefer en triangles rectangles, et considrant deux
densits de maillage diffrentes, les caractristiques statiques (a) et (b) de la force axiale
prsentes sur la figure II.17 correspondent 40 et 10 nuds de discrtisation face une dent
statorique, le dplacement se faisant par centime de dent statorique.
Des oscillations plus au moins importantes ainsi que des carts damplitudes sont
relevs sur les caractristiques statiques obtenues.
Lanalyse de ces deux phnomnes peut conditionner le choix dun pas de
dplacement lmentaire en relation avec le type de maillage.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
69
II.3. Caractrisation de la machine par la mthode des lments finis
_____________________________________________________________________________________________________________________________________________________________________________________
-80
-60
-40
-20
0
0 25 50 75
Dcalages (%)
F
o
r
c
e

(
N
)
100
a b

a. 40 nuds face une dent b. 10 nuds face une dent
Figure II.17 : Caractristiques statiques pour deux densits de maillage

Suppression des oscillations
Lanalyse observes des deux caractristiques de la figure II.17, montre que les
frquences des oscillations dpendent du nombre de nuds du maillage et que celles-ci sont
exclusivement dues la dformation du maillage au passage dune position statique une
autre.
En effet, 10 oscillations apparaissent sur la caractristique 10 nuds face une dent
statorique, et 40 oscillations sur la caractristique 40 nuds.
Pour un dplacement de 1%, les dformations de maillages sont reprsentes sur la
figure II.18.
Figure II.18: Dformation du maillage en fonction du nombre de noeuds
Maillage relatif la caractristique (a)
Maillage relatif la caractristique (b)
0% de dcalage

1% de dcalage
0% de dcalage

1% de dcalage

_____________________________________________________________________________________________________________________________________________________________________________________
70
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
71
-50
-40
-30
-20
-10
0
10
0 25 50 75 100
Dcalage (%)
F
o
r
c
e

(
N
)
a b c
Cepe la distance
sparant deux nuds du maillage, ce dernier conserve sa rgularit et la caractristique
statique devient lisse.
II.19, sont reprsentes les caractristiques statiques (a), (b) et (c)
correspondant respectivement 10 , 40 et 100 nuds de maillage face une dent statorique,
pour des dplacem
age est conserve sur les positions
considres, il apparat clairement que les courbes de force ne prsentent plus doscillations.
a. 10 nuds face une dent b. 40 nuds face une dent c. 100 nuds face une dent

La dis statique z,
gal la distance sparant deux nuds conscutifs du maillage axial de lentrefer.
rbes (a) et
(b) de la figure II.17, correspondent bien aux diffrents points des caractristiques statiques
(a) et (b


ndant, si le dplacement devient gal un pas z multiple entier de
Sur la figure
ents de 10/100, 2.5/100 et 1/100 de la largeur dune dent statorique, et sur
la figure II.20, les maillages relatifs ces caractristiques.
Pour les trois cas tudis, o la rgularit du maill
Figure II.19 : Caractristiques statiques pour des maillages rguliers
parition des oscillations est due au choix du pas de dplacement
On peut aussi remarquer que les pics des oscillations observs sur les cou
) de la figure II.19, car le maillage est bien rgulier sur ces positions.


II.3. Caractrisation de la machine par la mthode des lments finis
_____________________________________________________________________________________________________________________________________________________________________________________
Figure II.20 : Rgularit du maillage au cours du dplacement
Corrlation entre lamplitude de la force et le taux de dformation du maillage
Bien que du mouvement
garanti ensit du
maillag
rectangles du
maillag
Figure II.21 : Elments de maillage de lentrefer
Lentrefer tant form par deux couches dlments, la distance h

est fixe la moiti


de la largeur de cet ent nuds conscutifs de
h

Rgularit du maillage au cours du dplacement


Corrlation entre lamplitude de la force et le taux de dformation du maillage
Bien que du mouvement
garanti ensit du
maillag
rectangles du
maillag
Figure II.21 : Elments de maillage de lentrefer
Lentrefer tant form par deux couches dlments, la distance h

est fixe la moiti


de la largeur de cet ent nuds conscutifs de
h

0% de dcalage
10% de dcalage
0% de dcalage

2.5% de dcalage
0% de dcalage

1% de dcalage
Maillage relatif la caractristique (a)
Maillage relatif la caractristique (c)
Maillage relatif la caractristique (b)
la conservation de la rgularit du maillage au cours la conservation de la rgularit du maillage au cours
sse la disparition des oscillations, le problme de prcision relatif la d sse la disparition des oscillations, le problme de prcision relatif la d
e lintrieur de lentrefer demeure. En effet, daprs les figures II.19 et II.20, plus le
nombre de nuds augmente plus la force maximale en valeur absolue diminue.
Pour tudier linfluence du nombre de nuds sur la prcision des rsultats, un taux de
dformation est dfini. Il reprsente le ratio entre les deux cots des triangles
e lintrieur de lentrefer demeure. En effet, daprs les figures II.19 et II.20, plus le
nombre de nuds augmente plus la force maximale en valeur absolue diminue.
Pour tudier linfluence du nombre de nuds sur la prcision des rsultats, un taux de
dformation est dfini. Il reprsente le ratio entre les deux cots des triangles
e, figure II.21. e, figure II.21.
Partie mobile
refer. Le choix de la distance h

sparant deux refer. Le choix de la distance h

sparant deux

z
u

E
n
t
r
e
f
e
r

Partie fixe
r
u

_____________________________________________________________________________________________________________________________________________________________________________________
72
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
lentrefer, permettra de faire varier le taux de dformation tout en gardant la rgularit du
maillage au cours du mouvement.

=
h
h
(II.31)
Pour trois po obile correspondant r pectiv
dcalages de 10%, 25% et 50%, les valeurs de la force de pousse sont calcules pour des
taux de
Figure II.22 : Evolu pousse linaire en fonction du taux de dformation
s positions 10%, 25% et 50%
Sur la figure II.22, on constate que les suites de valeurs approches de la force
convergent vers la valeur donne par le taux de dformation gal 1, cest dire que la valeur
de la fo



1
sitions fixes de la partie m es ement des
dformation allant de 0.1 1.4. Les rsultats obtenus sont prsents sur la figure II.22.











-60
-40
-20
Taux de dformation
F
o
r
c
e

(
N
)
0
0 0,2 0,4 0,6 0,8 1 1,2 1,4 1,6
10% 25% 50% Dcalage :
tion de la
pour le

rce de pousse est la plus prcise lorsque les triangles sont isocles rectangles. Ainsi la
caractristique statique de la figure II.23 reprsente leffort statique avec la meilleure
prcision trouve correspondant un taux de dformation =0,98.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
73
II.3. Caractrisation de la machine par la mthode des lments finis
_____________________________________________________________________________________________________________________________________________________________________________________
-25
-20
-15
-10
-5
0
0 25 50 75
Dcalage (%)
F
o
r
c
e

(
N
)
100
Figure II.23 : Caractristique statique retenue de la machine
Lamplitude maximale de la force de pousse que pourra dvelopper lactionneur est
donc de 21,5N. La forme irrgulire de la force en fonction de la position est due la non-
linarit du matriau magntique.
Sur la figure II.24 est prsente une comparaison entre une caractristique statique de
force dtermine partir du modle lments finis linaire et un modle lments finis non
linaire.
-30
-25
-20
-15
-10
-5
0
0 25 50 75 100
Dcalage (%)
F
o
r
c
e

(
N
)
Non linaire Linaire

Figure II.24 : Caractristiques statiques de force
Les caractristiques de la figure II.24 montrent encore une fois limportance de la prise
en compte de la non-linarit du matriau dans la modlisation de lactionneur.


_____________________________________________________________________________________________________________________________________________________________________________________
74
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
II.3.2.4.2. Calcul de la force en utilisant la conergie
Le concept de conergie, dj utilis pour le calcul de force partir des rseaux de
rluctances, est appliqu dans cette partie, sous une autre forme exploitant la variation du flux
magntique en fonction de la position du mobile pour un courant constant. Il permet ainsi de
calculer numriquement la force dveloppe par lactionneur en utilisant les rsultats lments
finis partir des quations I.19, I.20, I.23 et I.24 [Marinescu 88], [El Amraoui 02 f].
La figure II.25 prsente deux caractristiques dvolution du flux magntique total,
dvelopp en fonction des ampre-tours dalimentation, pour deux positions diffrentes du
mobile z
1
et z
2
. La variation de la conergie magntique
c
W , associe au dplacement entre
z
1
et z
2
, correspond la partie hachure de la figure II.25.
0
0,2
0,4
0,6
0,8
0 50 100 150 200 250 300
Ni (Atr)
F
l
u
x

(
m
W
b
)

z
2
Ni=cst
z
1
c
W
Figure II.25 : Calcul de la conergie magntique
Si les courbes de variation du flux en fonction des ampre-tours sont connues pour
deux positions voisines z
1
et z
2
du mobile dcales de z, il est alors possible den dduire la
variation de la conergie et donc de la force moyenne dveloppe entre les deux positions
partir de lquation I.29.
Lintrt de la mthode, est quelle fournit la force de pousse de lactionneur pour
tout courant et pour toute position relative du stator et du mobile.
Un calcul lments finis en magntostatique est effectu pour des positions
successives avec un pas de dplacement gal cinq centimes de la largeur de la dent
statorique.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
75
II.3. Caractrisation de la machine par la mthode des lments finis
_____________________________________________________________________________________________________________________________________________________________________________________
Les caractristiques statiques de la force de pousse sont reprsentes sur la figure
II.26, pour des dplacements allant de 5 centimes 55 centimes, un maillage rgulier de
lentrefer, un nombre de nuds face une dent statorique variant de 20 100 et un courant
dalimentation Ni=300Atr.
-25
-20
-15
-10
-5
0
0 10 20 30 40 50 6
Dcalage (%)
F
o
r
c
e

(
N
)
nnd100 nnd80 nnd60 nnd40 nnd 20
0
Figure II.26 : Caractristiques statiques de force pour diffrents nombres de nuds
La figure II.26 montre que le calcul de force par la mthode de conergie est beaucoup
moins sensible la densit du maillage que le tenseur de Maxwell. Dautres simulations
conduites pour des maillages dforms ont de mme abouti des rsultats similaires ceux
relatifs un maillage rgulier de mme densit.
II.3.2.4.3. Comparaison des deux mthodes bases sur les rsultats lments finis
La caractristique statique dtermine par le tenseur de Maxwell pour 100 nuds et
celle dtermine partir de la conergie pour 20 nuds sont reprsentes sur la figure II.27 ;
lcart relatif moyen entre ces deux caractristiques ne dpasse pas 1% malgr une densit de
maillage cinq fois plus faible utilise avec la mthode de conergie.




_____________________________________________________________________________________________________________________________________________________________________________________
76
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
77
-25
-20
-15
-10
-5
0
0 10 20 30 40 50 60
Dcalage (%)
F
o
r
c
e

(
N
)
Conergie Tenseur de Maxwell
Figure II.27 : Comparaison des caractristiques statiques
Les deux caractristiques de la figure II.27 montrent donc une bonne concordance
entre les rsultats obtenus partir des deux mthodes de calcul de force pour les nombres de
nuds considrs.
Dautre part, la dure dune simulation numrique pour la rsolution du problme
lectromagntique avec un processeur Pentium-800GHz sur une position statique est dune
minute environ lorsque le maillage global de la structure est construit autour dun maillage
dentrefer raison de 20 nuds par dent. Cette dure passe neuf minutes environ lorsque le
maillage est de 100 nuds par dent.
Ainsi, pour ce type de machine pas pas, o lpaisseur de lentrefer est trs faible par
rapport la longueur, la mthode de conergie semble tre plus robuste et moins coteuse
pour le calcul de force. Cette mthode ncessite, cependant, au moins deux simulations
statiques pour lestimation dune valeur de force ce qui est contraignant dans le cas du
traitement en ligne des rsultats. Par ailleurs, le problme de la drive de la conergie
magntique par rapport au dplacement virtuel z peut tre rsolu en utilisant la technique de
la drive du jacobien local qui ne ncessite quune seule simulation pour le calcul de la force
en une position [Coulomb 83].
En effet, la conergie magntique peut tre dcrite sous sa forme globale par
lexpression II.32 :

= d dH ) H ( B W
H
0
c
(II.32)
II.3. Caractrisation de la machine par la mthode des lments finis
_____________________________________________________________________________________________________________________________________________________________________________________
En considrant les lments e du domaine dtude ainsi que leurs expressions
locales dans le repre des lments finis, lexpression II.32 peut se mettre sous la forme
suivante [Coulomb 83], [Coulomb 97]:
d G det dH ) H ( B de dH ) H ( B W
e

H
0
e

H
0
c

= (II.33)
o det G dsigne le dterminant de la matrice G du jacobien local qui exprime les
transformations gomtriques du systme de coordonnes locales au systme de coordonnes
globales. La force globale peut donc tre obtenue partir de lquation II.34 :

=
e

H
0
c
z
d
z
G det
dH ) H ( B d G det
z
H
) H ( B
z
W
F (II.34)
Ainsi, le calcul dune diffrence finie de conergie, quation I.29, ncessitant deux
simulations lments finis, est remplac dans ce cas par un calcul de drive par rapport un
dplacement virtuel des nuds de maillage dune seule simulation lments finis.
Considrant que le logiciel utilis PC-Opra noffre pas la possibilit dun traitement
automatique des rsultats lments finis afin de rsoudre lquation II.34, Toutefois, il est
intressant de programmer la rsolution de cette quation en post-traitement partir des
modles lments finis rsolus.
II.3.3. COMPARAISON DU MODELE RESEAU DE RELUCTANCES AU MODELE
ELEMENTS FINIS
II.3.3.1. Introduction
Le modle rseau de rluctances a t amlior par la prise en compte de leffet de
saturation magntique et des fuites dencoche ; cependant, les hypothses faites sur le calcul
de rluctance dentrefer partir de laquelle est calcule la force dveloppe par la machine
peuvent sembler restrictives. Ainsi, la comparaison des rsultats obtenus par le rseau de
rluctances non linaires avec prise en compte des fuites dencoche ceux obtenus par
lments finis simpose. Elle permet, en effet, destimer dune part lerreur commise par le
modle rseau de rluctances et de dterminer, dautre part, des coefficients correcteurs
pouvant tre utiliss pour un dimensionnement plus prcis.
_____________________________________________________________________________________________________________________________________________________________________________________
78
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
II.3.3.2. Comparaison des flux
Lerreur relative sur le calcul du flux total dvelopp au sein de la structure
lectromagntique tudie est infrieure 9% sur la plage de fonctionnement utile
correspondant un dcalage entre les dents fixes et les dents mobiles allant de 0% jusqu

50%. La figure II.28 prsente lvolution de cette erreur.
Figure II.28 : Erreur relative sur le calcul du flux total
II.3.3.3. Com
termine partir du
modle rs ents finis a galement
Dcalage (%)
0
2
4
6
8
10
0 25
E
r
r
e
u
r


(
%
)
50
paraison des rluctances dentrefer
La comparaison de lvolution de la rluctance dentrefer d
eau de rluctances et celle calcule partir du modle lm
montr que lerreur relative entre ces deux caractristiques ne dpasse pas 8% sur la plage de
fonctionnement utile de lactionneur, figure II.29.

Figure II.29 : Erreur relative sur le calcul de rluctance d'entrefer
-2
0
2
4
6
Dcalage (%)
E
r
r
e
u
r

(
%
)
8
0 25 50
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
79
II.3. Caractrisation de la machine par la mthode des lments finis
_____________________________________________________________________________________________________________________________________________________________________________________
II.3.3.4. Comparaison des forces
Lcart relatif, entre la caractristique de la force de pousse, obtenue par exploitation
de la mthode s, est prsent
dans la figure II.30 sur la plage de ent utile de lactionneur.
thode de conergie est
entach du premires positions o la force est faible.
Nanmoins lerreur rela le reste infrieure
10%. Cette erreur peut tre explique par lexistence de fuites magntiques qui nont pas t
prises en compte par le modle

Figure II.31 : Flux de dispersion travers la troisime dent du mobile
des lments finis et celle obtenue par le rseau de rluctance
fonctionnem

Figure II.30 : Erreur relative sur le calcul de force
Le calcul de la force partir du rseau de rluctance et de la m
ne erreur relative importante sur les
tive moyenne sur la plage de fonctionnement uti
rseau de rluctances et qui sont de plus en plus importantes
quand le dcalage est plus important. Cette dispersion de flux est notamment due linfluence
dune troisime dent de la partie mobile comme le montre la figure II.31.




Flux de
dispersion

1 2 3
0
5
10
15
E
r
r
e
u
r

(
%
)
20
25
0 25 50
Dcalage(%)
_____________________________________________________________________________________________________________________________________________________________________________________
80
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
II.3.3.5. Conclusion
Le mo lux que de la
force de pousse, des rsultats qui ont t confirm par le modle lments finis avec une
erreur relative globalement infrieure 10%. Cette prcision est amplement suffisante dans la
mesure
dle rseau de rluctances dvelopp a donn, tant au niveau des f
o ce modle est utilis pour le dimensionnement de lactionneur. Une marge de
scurit de 10% est toutefois retenue pour la construction du prototype.
Le modle analytique labor est compltement paramtr et peut tre appliqu pour le
dimensionnement rapide dautres structures pas pas linaires tubulaires rluctance
variable.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
81
II.4. Etude exprimentale, comparaison des rsultats pratiques et thoriques
_____________________________________________________________________________________________________________________________________________________________________________________
II.4. ETUDE EXPERIMENTALE, COMPARAISON DES RESULTATS
PRATIQUES ET THEORIQUES
II.4.1. INTRODUCTION
Un prototype est spcialement construit pour valider les diffrentes modlisations et
conu, pour satisfaire plusieurs objectifs nominaux prvus parmi lesquels, un pas de
dplacement de 2.54mm et une force de dmarrage de 20N. Il a galement pour but ltude
exprimentale des moteurs pas pas linaires tubulaires rluctance variable.
En particulier, la caractristique de la force statique dveloppe par le prototype est
releve et compare celles dtermines partir des modles rseau de rluctances et
lments finis.
II.4.2. PRESENTATION DU BANC DESSAIS
Le banc dessais doit permettre, dans un premier lieu, de dterminer la caractristique
statique dveloppe par le prototype.
Le prototype est ralis de faon modulaire afin davoir la possibilit de monter,
dmonter et changer ses diffrentes parties. Les phases statoriques se prsentent sous forme
de galettes en matriau magntique logeant les bobines dalimentation lintrieur et sont
spares par des anneaux amagntiques en bronze. La partie mobile est une tige massique
dente coulissante et guide ses dextrmits par des paliers billes, figure II.32.
Mobile dent
Phases statoriques
Anneaux amagntiques
Stator assembl







Figure II.32 : Diffrentes parties du prototype ralis
_____________________________________________________________________________________________________________________________________________________________________________________
82
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
Ces derniers sont fixs aux extrmits dune culasse cylindrique amagntique assurant
le maintien et la fixation des diffrentes parties du stator et aussi la protection de la partie
mobile dente contre lintrusion de particules. La course du prototype, de 101,6mm,
correspond 20 pas en avant et 20 pas en arrire.
Les mesures de force statiques et les essais thermiques ont t raliss sur un prototype
possdant un entrefer de 0,1mm et une seule phase statorique, donc dans les mmes
conditions que celles considres en simulation numrique.
Par ailleurs, il est difficile dassurer la coaxialit des quatre phases statoriques avec un
entrefer aussi faible. En effet, ds quune phase, dsaxe par rapport au mobile, est alimente,
un effort dattraction radiale, normalement nul dans lhypothse de laxisymtrie parfaite de la
structure, est cr. Cet effort provoque une dformation en flche de la partie mobile qui vient
se coller contre la phase statorique. La dformation est visible lil nu et accompagne
dun bruit de claquage. Tant que la phase est alimente, la partie mobile lui est colle et
rsiste toute tentative de dplacement dans le sens axial. Ainsi lavantage offert par la
structure tubulaire (F
r
=0), est difficilement ralisable pour des structures trs faible entrefer.
Pour pouvoir raliser des essais sur le prototype quatre phases, nous avons dcid
daugmenter son entrefer de 0,1mm 0,2mm en intervenant sur le diamtre dalsage du
stator et en doublant les ampres-tours dalimentation puisque le dimensionnement thermique
de lactionneur le permet.
Le banc dessais ralis comprend essentiellement le prototype fabriqu, un capteur de
force et un capteur de position fixs au bti. Un palmer, fix dans le prolongement axial de la
partie mobile, est solidaire dune plaque dacier indformable pouvant glisser dans la
direction du mouvement sur laquelle est fix le capteur de force. La figure II.33 prsente un
schma synoptique du banc dessais ralis.





Capteur de force
Prototype
Capteur de dplacement
Alimentation
Palmer
Position (z)
Dplacement
impos
Force (F
z
)
Figure II.33 : Schma synoptique du banc dessais ralis
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
83
II.4. Etude exprimentale, comparaison des rsultats pratiques et thoriques
_____________________________________________________________________________________________________________________________________________________________________________________
Le capteur de force utilis est une jauge de contraintes mtallique en alliage
daluminium munie dun circuit de compensation et gnrant un signal de sortie variable en
fonction de sa dformation sous leffet des contraintes qui lui sont appliques. Il prsente
lavantage dun faible encombrement et dun poids rduit. Ce capteur est capable de mesurer
jusqu 20N.
Pour mesurer le dplacement axial de la partie mobile un capteur de dplacement
linaire inductif LVDT (Linear Variable Differential Transformer) lectronique incorpore
est utilis. Il est constitu dun bobinage primaire aliment par une tension alternative, le
mouvement de lquipage mobile qui canalise le flux magntique gnre des variations de
tensions dans les bobinages secondaires. Llectronique intgre au capteur permet de dlivrer
un signal analogique proportionnel au dplacement, sa plage de linarit est de 0 100mm et
sa sensibilit est de 102,64mV/mm. Une photo du banc dessais ralis est prsente sur la
figure II.34.

Prototype
Capteur de force Capteur de dplacement





Figure II.34 : Banc dessais
II.4.3. RESULTATS OBTENUS
II.4.3.1. Essais thermiques
Llvation de temprature du bobinage T est dtermine partir de la mesure de la
rsistance R de lenroulement aliment. En effet, on a :
(II.35) ) T 1 ( R R
0
+ =
avec R
0
la rsistance de lenroulement la temprature ambiante et =0.004K
-1
le coefficient
de temprature du cuivre. Ltude de lchauffement du moteur ralis, consiste alimenter
_____________________________________________________________________________________________________________________________________________________________________________________
84
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
en permanence un enroulement dune phase par un courant constant et relever la tension
entre ses bornes en fonction de la dure dalimentation, Ainsi, pour une tension dalimentation
initiale U
0
, il est possible de dterminer llvation de temprature T partir de la relation
suivante :

= 1
U
U 1
T
0
(II.36)
Le montage voltampremtrique utilis nous a permis de contrler le courant, de
relever la tension U et de dterminer ainsi la variation de temprature dchauffement T.
Les essais raliss ont conduit aux rsultats de la figure II.35, prcisant les
caractristiques dchauffement global du moteur en fonction du temps pour des courants
Figure II.3
i=0,8A ; i=1,2A et i=1,5A.
5 : Caractristique dchauffement dun module stator
Dans le constatons que
llvation de temprature est de 51K pour un courant de 1,5A au bout de 100 minutes
entation ; par consquent, la
ent du
fonctionnement du moteur pas pas ; le bobinage pourrait cependant supporter des courants
alime
0
20
40
60
0 25 50 75
Temps (mn)
V
a
r
i
a
t
i
o
n

d
e

t
e
m
p

r
a
t
u
r
e

e
n

C
1.5 A 1.2 A 0.8 A
100
cas dune alimentation continue dune phase du moteur, nous
dalim rsistance initialement de 18 a augment de 20%.
Lessai a t limit dans le temps et en courant vu le caractre intermitt
d ntation plus importants, sur des dures plus longues, sachant que les bobines ont t
ralises avec des fils de classe H.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
85
II.4. Etude exprimentale, comparaison des rsultats pratiques et thoriques
_____________________________________________________________________________________________________________________________________________________________________________________
II.4.3.2. Caractristique statique de force
Afin de relever la caractri tiq s ue statique de force en fonction du dplacement, une
phase de lactionneu iert donc naturellement sa position
dquilibre stable correspondant un alignement entre les dents fixes et les dents mobiles. Le
ge
AISON DES RESULTATS EXPERIMENTAUX AVEC CEUX
S PAR SIMULATION
Pour comparer la caractristique statique obtenue partir des simulations lments
finis et c he de la



r est alimente. La partie mobile acqu
palmer est utilis pour imposer de petits dplacements, via la plaque dacier indformable, au
capteur de force initialement plac en position de contact sans effort face la partie mobile de
lactionneur. Par action sur le palmer, le capteur de force dplace la partie mobile de sa
position dquilibre, la partie mobile ragit en appliquant un effort sur le capteur de force. La
mesure de la position et de leffort appliqu au capteur de force pour chaque dplacement
impos par le palmer permet de relever la caractristique statique de force en fonction du
dplacement. Les mesures ralises sur la structure monophase tudie sont reprsentes sur
la figure II.36.
II.4.4. COMPAR
OBTENU
-25
-20
-15
-10
-5
0
Dcalage (%)
F
o
r
c
e

(
N
)
0 25 50 75 100

Figure II.36 : Caractristique pratique de force statique en fonction du dcala
elle dtermine exprimentalement, elles sont reprsentes sur le mme grap
figure II.37.



_____________________________________________________________________________________________________________________________________________________________________________________
86
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
-25
-20
-15
-10
-5
0
0 25 50 75 100
Dcalage (%)
F
o
r
c
e

(
N
)
Pratique Elments finis

Figure II. 37 : Comparaison des caractristiques statiques de forces
en fonction des dcalages
Les diffrences observes entre les deux caractristiques de la figur

e II.37 peuvent tre
expliques par les erreurs de mesures, les tant entre la courbe B(H)
utilise pour l tilis pour la
onstruction du prototype ; ou encore par les imprcisions numriques qui restent
relativement faibles. Ainsi, les rsultats obtenus valident, dans la marge de 10% derreur fixe
ventuels carts exis
es simulations et la courbe relle du matriau ferromagntique u
c
ds la phase de conception, dune part, le modle lments finis construit pour cet actionneur
et dautre part, les hypothses de dimensionnement. Ainsi ltape doptimisation peut tre
conduite en se basant sur des outils fiables de prototypage virtuel.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
87
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
II.5. OPTIMISATION ET ADAPTATION DE LA GEOMETRIE AU
CAHIER DES CHARGES
II.5.1. APPROCHE PROPOSEE POUR LOPTIMISATION
II.5.1.1. Introduction
Les plans dexpriences apportent une aide prcieuse lexprimentateur cherchant
rsoudre un problme laide dune suite dexpriences, en lui donnant la possibilit de
planifier efficacement ses expriences [Pillet 94], [Goupy 96]. Cette technique est largement
utilise dans le domaine doptimisation des structures lectrotechniques [Brisset 95],
[Gillon 97], [Sauvey 00]. En effet, ils permettent de fixer les niveaux des paramtres
doptimisation et donc de considrer des variations discrtes de ces derniers.
Dans cette partie, nous prsentons la stratgie doptimisation que nous avons
dveloppe. Elle est base sur lutilisation de plans dexpriences originaux dvelopps dans
[Vivier 02]. Ce sont des plans treillis construits partir de juxtapositions judicieuses de plans
dexpriences. Ensuite, un mcanisme de translation de plans treillis est propos afin de
permettre un balayage efficace du domaine de variation des grandeurs intervenant dans
loptimisation et aussi des rcuprations dexpriences entre plans.
II.5.1.2. Prsentation de la mthode des plans dexpriences
Lorsque le rsultat dune exprience dpend de plusieurs variables pouvant varier
chacune entre un maximum et un minimum, la mthode traditionnelle dexprimentation
consiste rpter lexprience autant de fois quil y a de paramtres et de niveaux.
De plus, une bonne dmarche dacquisition des connaissances doit fournir des rsultats
dinterprtation aise. La mthode des plans dexpriences apporte une mthodologie capable
de planifier les expriences et permet datteindre une meilleure connaissance du systme
observ avec un minimum dessais et une bonne prcision. Le vocabulaire plan dexpriences
dfini dans [Pillet 94] est adopt dans cette partie, ainsi :
un facteur dsigne une variable, ou un tat, qui agit sur le systme tudi et qui peut
tre continu ou discret,
la rponse dun systme est la grandeur mesure pour connatre leffet des facteurs sur
le systme,
_____________________________________________________________________________________________________________________________________________________________________________________
88
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
un facteur significatif est un facteur qui, lorsquil est modifi, modifie
considrablement la rponse du systme, lencontre dun facteur non significatif dont
leffet sur la rponse du systme est nul ou trs faible pour tre apprci,
les niveaux dun facteur indiquent les valeurs que prend ce facteur au cours des essais.
II.5.1.3. Choix des expriences
Pour choisir les expriences raliser, lexprimentateur peut adopter plusieurs
stratgies de recherche. Par exemple dans le cas de deux facteurs A et B deux niveaux, il
peut choisir des expriences au hasard comme il peut choisir de fixer le facteur A sa valeur
moyenne et de faire varier le facteur B puis de fixer B et de faire varier A. La figure II.38.a
prsente le domaine exprimental issu dune telle stratgie dexprimentation.
La mthode des plans dexpriences consiste prendre les mesures aux extrmits du
domaine exprimental, figure II.38.b. Ainsi le domaine de validit des informations extraites
partir des expriences est plus large et la rponse est plus facilement interprtable [Goupy 96],
[Gillon 97].





a. choix classique b. Choix par plans dexpriences
A
B
A
B
1




2
1




2
1 2
1 2
S2
S1
S3 S4
R1
R3
R4
R2
Figure II.38 : Choix de lemplacement des points exprimentaux
II.5.1.4. Plans factoriels complets
La ralisation dun plan factoriel complet consiste considrer toutes les
combinaisons possibles entre les niveaux de tous les facteurs considrs. Un plan complet
comportant k facteurs X niveaux et m facteurs Y niveaux est compos de X
k
.Y
m

expriences.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
89
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
Dans le cas dun plan factoriel complet deux niveaux et deux facteurs, les niveaux
de chaque facteur peuvent tre exprims en grandeurs rduites, en dsignant par 1 le niveau
bas du facteur et par 2 son niveau haut, tableau II.1. Cette notation permet dcrire la matrice
dexpriences A
exp
sous la forme suivante :
Tableau II.1 : Matrice dexpriences
Numro dexprience Facteur A Facteur B Rponse
1 1 1 Y
11
2 2 1 Y
21
3 1 2 Y
12
4 2 2 Y
22

Ainsi, la moyenne gnrale des essais correspond la somme des rponses, Y
ij

correspondant aux niveau i du facteur A et au niveau j du facteur B, sur le nombre
dexpriences N
exp
:

=
j , i
ij
exp
Y
N
1
M (II.37)
Leffet moyen E
Ai
dun facteur A au niveau i est gal la moyenne des rponses
lorsque le facteur est au niveau i moins la moyenne gnrale des rponses :
M Y
N
p
E
p
N
1 j
ij
exp
Ai
exp
=

=
(II.38)
o p est le nombre de niveaux pris par le facteur A.
Ainsi pour le cas de deux niveaux par facteurs, si E
A1
dsigne leffet du facteur A
lorsquil est au niveau 1 et E
A2
leffet de A lorsquil est au niveau 2, il vient :
0 E E
2 A 1 A
= + (II.39)
Le calcul des effets moyens du facteur A ne possde donc quun degr de libert (une
seule valeur indpendante, la seconde se dduit de la premire).
Ces effets servent la construction dun modle de la rponse sur le domaine de
variation des facteurs, une rponse thorique peut tre donne par le modle pour chaque
exprience o le facteur A est au niveau i et le facteur B au niveau j par :

exp
A
_____________________________________________________________________________________________________________________________________________________________________________________
90
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
Bj Ai ij
E E M Y
~
+ + = (II.40)
Cependant, lorsque le phnomne prsente des interactions entre les facteurs, ceci se
traduit par un effet du facteur A diffrent selon que le facteur B est au niveau haut ou au
niveau bas. La rponse thorique du systme peut, dans ce cas, tre estime pour chaque
exprience o le facteur A est au niveau i et le facteur B au niveau j par :
AiBj Bj Ai ij
I E E M Y
~
+ + + = (II.41)
I
AiBj
tant linteraction qui existe entre le facteur A lorsquil est au niveau i et le facteur B
lorsquil est au niveau j. Lorsque le nombre de facteurs augmente, des interactions dordre de
plus en plus lev interviennent dans la construction du modle de la rponse.
II.5.1.5. Plans fractionnaires
Un plan complet deux niveaux comporte 2
k
essais et permet destimer non seulement
les effets des facteurs, mais aussi toutes leurs interactions. Afin de limiter le nombre dessais,
les interactions dordre lev peuvent tre ngliges dans le modle. Dans ces conditions,
seuls les effets de chacun des facteurs ainsi que les interactions de petit ordre et la moyenne
seront considrs. Dans ce cas, un plan fractionnaire dun plan factoriel complet peut tre
utilis afin de rduire le nombre dessais.
Pour la construction dun plan fractionnaire, la proprit dorthogonalit des plans doit
tre respecte. Cette condition est indispensable pour pouvoir calculer les effets dun facteur
indpendamment des autres facteurs. En effet, lorsque leffet dun facteur A est calcul au
niveau i, il faut que le facteur B soit autant de fois prsent aux niveaux 1,2, ; dans le cas
contraire, leffet de B biaiserait le calcul de leffet de A [Goupy 96].
Pour construire un plan fractionnaire partir dun plan complet 2
k
, la solution est par
exemple de considrer un plan rduit, en utilisant la matrice dexpriences dun plan complet
2
k-p
. Le nombre dexpriences effectuer est donc divis par 2
p
. Si aprs cette tude les
rsultats obtenus ne permettent pas dapporter des conclusions sur linfluence des facteurs et
leurs interactions, lutilisateur pourra tudier un deuxime plan fractionnaire mieux adapt
pour lever le doute sur certains rsultats.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
91
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
Soit tudier linfluence de six facteurs A, B, C, D, E et F sur une rponse par
lintermdiaire dun plan fractionnaire 2
6-1
. A ce niveau, trente deux essais faisant intervenir
les six facteurs sont dfinir. Pour laborer la matrice dexpriences de ce plan, une partie de
la matrice des effets 2
5
sera utilise. En effet A, B, C, D et E garderont les mmes squences
de niveaux que pour un plan 2
5
, alors que la squence de niveaux pour le facteur F sera rgie
par celle du produit ABCDE. La matrice dexpriences considre pour cette tude, en
adoptant la notation centre rduite pour indiquer les niveaux de facteurs, est donne par le
tableau II.2 :
Tableau II. 2 : Matrice dexpriences relative un plan 2
6-1
Expriences A B C D E F
1 -1 -1 -1 -1 -1 -1
2 1 -1 -1 -1 -1 1
3 -1 1 -1 -1 -1 1
4 1 1 -1 -1 -1 -1
5 -1 -1 1 -1 -1 1
6 1 -1 1 -1 -1 -1
7 -1 1 1 -1 -1 -1
8 1 1 1 -1 -1 1
9 -1 -1 -1 1 -1 1
10 1 -1 -1 1 -1 -1
11 -1 1 -1 1 -1 -1
12 1 1 -1 1 -1 1
13 -1 -1 1 1 -1 -1
14 1 -1 1 1 -1 1
15 -1 1 1 1 -1 1
16 1 1 1 1 -1 -1
17 -1 -1 -1 -1 1 1
18 1 -1 -1 -1 1 -1
19 -1 1 -1 -1 1 -1
20 1 1 -1 -1 1 1
21 -1 -1 1 -1 1 -1
22 1 -1 1 -1 1 1
23 -1 1 1 -1 1 1
24 1 1 1 -1 1 -1
25 -1 -1 -1 1 1 -1
26 1 -1 -1 1 1 1
27 -1 1 -1 1 1 1
28 1 1 -1 1 1 -1
29 -1 -1 1 1 1 1
30 1 -1 1 1 1 -1
31 -1 1 1 1 1 -1
32 1 1 1 1 1 1

_____________________________________________________________________________________________________________________________________________________________________________________
92
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
Dans ce cas, le facteur F, et linteraction I
ABCDE
sont dits aliass. Dans un plan
fractionnaire, les effets sont toujours aliass avec des interactions [Pillet 94].
Le gnrateur dalias du plan fractionnaire 2
6-1
est I=ABCDEF ce qui veut dire que
leffet de chaque facteur est alias avec linteraction des facteurs restants.
Le modle associ au plan fractionnaire est construit de la mme manire que dans le
cas dun plan complet : il fait intervenir les effets des facteurs ainsi que les effets de leurs
interactions jusqu lordre souhait. Lorsquun modle est utilis pour estimer la rponse
dun systme, il est possible de calculer un cart appel rsidu, entre la valeur calcule par le
modle et la valeur relle de la rponse, si le nombre dexpriences est suprieur au nombre
de degrs de libert du modle, cest dire au nombre de coefficients estimer entre
moyenne, effets et interactions. Le rsidu est donc donn par [Vivier 01b], [Goupy 99] :
Y
~
Y r = (II.42)
Toutes les informations contenues dans les rsultats ne sont pas systmatiquement
exprimes dans le modle. Il reste des informations tirer des donnes exprimentales.
Ltude des rsidus par lintermdiaire de lanalyse de la variance permet dextraire des
informations supplmentaires.
Lanalyse de la variance permet de juger si les effets calculs sont significativement
diffrents de lerreur exprimentale, lorsquelle existe. Dans le cas de simulations
numriques, cette dernire nexiste pas. Nanmoins, nous proposons de remplacer cette erreur
exprimentale par lerreur dajustement [Vivier 02]. Le test de Fisher, est alors utilis pour
dterminer si un facteur est significatif. Ce test repose sur le calcul de la variance rsiduelle
(V
r
) et la variance entre chantillons pour un facteur (V
A
) [Goupy 99]. Dans le cas o les
facteurs tudis nont pas deffet, le rapport V
A
/V
r
suit une loi de Fisher n
A
et n
r
degrs de
libert, o :
r
2
r
n
r
V

= (II.43)
A
2
Ai
A
n
E
V

= (II.44)
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
93
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
n
A
tant le nombre de degrs de libert du facteur A et n
r
le nombre de degrs de
libert du rsidu. Le nombre de degrs de libert dun facteur est gal au nombre de niveaux
qui lui sont allous moins un. Le nombre de degrs de libert du rsidu est la diffrence entre
le nombre dexpriences ralises et le nombre de coefficients du modle de la rponse. Le
nombre de degrs de libert dune interaction est gal au produit des degrs de libert des
facteurs intervenant dans linteraction.
Le test de Fisher consiste donc comparer le rapport F
exprimental
=
r
A
V
V
avec la valeur
thorique de la table de Fisher dfinie pour des niveaux de significations de 5% et 1% par
exemple [Droesbeke 97], [Vivier 01a], [Brisset 01]. Si F
exprimental
est suprieur F
thorique
de
la table, alors leffet est significatif. Il existe donc 1% ou 5% de risque pour que le facteur A
soit gard alors quen ralit il na aucune influence.
II.5.1.6. Plan treillis
Les plans treillis se prsentent sous la forme de plans dexpriences globaux construits
partir du maillage du domaine de variation des facteurs en plans fractionnaires lmentaires
deux niveaux. Ces plans permettent de fixer les facteurs doptimisation des niveaux
discrets et de balayer un large domaine exprimental avec un nombre rduit dexpriences. La
disposition des plans fractionnaires les uns par rapport aux autres est telle que deux plans
adjacents partagent le maximum dexpriences, comme le montre la figure II.39 pour le cas
dun plan treillis 3 facteurs A, B et C prenant chacun 5 niveaux. Le plan fractionnaire
lmentaire utilis est dans ce cas, un plan 2
3-1
.

A
B C




Plan fractionnaire
lmentaire 2
3-1
Figure II.39 : Plan treillis 3 facteurs et 5 niveaux par facteur
_____________________________________________________________________________________________________________________________________________________________________________________
94
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
Lutilisation de ces plans treillis est dautant plus intressante que le nombre de
facteurs et de niveaux par facteur est important. En effet, pour une optimisation six facteurs
et cinq niveaux par facteur, le plan le plus conomique formant la brique lmentaire du
plan treillis est un plan fractionnaire 2
6-3
; le plan treillis global rsultant comporte alors 1900
ou 2025 expriences, suivant le gnrateur choisi pour le plan fractionnaire lmentaire, au
lieu de 15625 expriences pour un plan complet.
Le tableau II.3 prsente une comparaison du nombre dexpriences correspondant un
plan complet k facteurs et X niveaux par facteur celui correspondant un plan treillis de
mmes dimensions, pour k=3 ; 4 ; 5 ; 6 ; 7 et X=3 ; 5 [Vivier 02].
Tableau II.3 : Comparaison du nombre dexpriences
entre plans treillis et plans complets
Nombre de facteurs (k) 3 4 5 6 7
Plan fractionnaire
lmentaire minimal
2
3-1
2
4-1
2
5-1
2
6-3
2
7-4
Nombre de niveaux par
facteur
3 5 3 5 3 5 3 5 3 5
Nombre dexpriences
(Plan treillis)
13
14
62
63
40
41
312
313
57
66
770
795
81
108
1900
2025
113
184
4664
5211
Nombre dexpriences
(Plan factoriel complet)
27 125 81 625 243 3125 729 15625 2187 78125

II.5.1.7. Conclusion
Les plans dexpriences permettent une disposition optimale des expriences, au sens
de la minimisation de lerreur. Les rsultats obtenus partir dun plan dexpriences
sapprtent bien lanalyse et linterprtation partir doutils statistiques puissants telle que
lanalyse de la variance.
Ces plans peuvent servir, soit lidentification des paramtres influents sur une
rponse, soit la dtermination de loptimum pour un problme doptimisation. Ils permettent
aussi de dfinir les simulations raliser priori et de considrer des facteurs discrets.
Par ailleurs, les plans dexpriences apportent une technique de minimisation du
nombre de simulations raliser grce aux plans fractionnaires et aux plans treillis en
conservant de prcieuses proprits mathmatiques.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
95
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
Les plans dexpriences sont alors parfaitement adapts loptimisation partir des
simulations lments finis.
II.5.2. FORMULATION DU PROBLEME DOPTIMISATION TRAITE
Dune faon gnrale, un problme doptimisation (P) peut tre exprim sous la forme
suivante [Minoux 83] :


=
m
i
R S x
,.... 1 i ; 0 ) x ( g
: es int contra les Sous
) x ( f Maximiser
: ) P ( (II.45)
Le vecteur x a pour composantes x
1
, x
2
, x
m
qui sont les inconnues du problme ; f
est la fonction objectif. Lensemble des conditions S x et ,... 1 i ; 0 ) x ( g
i
= sont les
contraintes du problme, S tant le domaine de variation des inconnues du problme.
La recherche du minimum dune fonction k(x) se ramne immdiatement au problme
de maximisation de f(x)=-k(x). Les contraintes dgalit du type h(x)=0 peuvent tre
remplaces par la double ingalit 0 ) x ( h et 0 ) x ( h . Tout vecteur x vrifiant les
contraintes est une solution du problme (P) ; une solution qui maximise f(x) sur lensemble
de toutes les solutions est une solution optimale. La rsolution du problme doptimisation du
moteur pas pas linaire tubulaire se fera en sappuyant sur les outils quoffre la mthode des
plans dexpriences, et ceci en trois tapes.
La premire est une tape danalyse, dans laquelle la mthode des plans dexpriences
permet de rechercher linfluence de chaque facteur sur la rponse du systme. En effet, tous
les facteurs de paramtrisation de la structure nont pas tous la mme influence, certains ont
un effet prpondrant, dautres un effet ngligeable. Cest pourquoi une slection des facteurs
est ncessaire afin de mener la procdure doptimisation avec un nombre rduit de facteurs.
De cette faon, le nombre de simulations raliser peut tre considrablement diminu.
La deuxime tape consiste rsoudre le problme doptimisation. Les plans
dexpriences sont galement utiliss pour la recherche dun optimum. Une stratgie
particulire de choix des points exprimentaux utilisant les plans treillis est adopte [Vivier
02]. Ces plans vont nous permettre de raliser une optimisation faible cot partir de
facteurs discrets et continus.
_____________________________________________________________________________________________________________________________________________________________________________________
96
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
La dernire tape permet de tester la sensibilit de loptimum aux bruits de
modlisation et de construction et de dgager une zone optimale robuste. A partir des
expriences ralises, des surfaces de rponses locales sont construites. Le modle polynomial
cr par la mthode des plans dexpriences est directement utilis pour juger de la sensibilit
de loptimum et dfinir une zone de stabilit dans laquelle peuvent tre choisies les grandeurs
optimales de lactionneur.
Le but de la procdure doptimisation applique au moteur pas pas linaire tubulaire
est dans un premier lieu de maximiser sa force statique de dmarrage, F
50%
, sous les
contraintes suivantes :
chauffement constant,
diamtre extrieur constant,
rayon dentrefer constant,
paisseur dentrefer constante.
La premire contrainte simpose pour la protection du bobinage dalimentation, les
trois autres contraintes ont pour objectifs, dune part, de fixer le volume extrieur dans lequel
loptimisation de lactionneur sera ralise et de garder, dautre part, les mmes dimensions
dentrefer dont les variations sont fort influentes sur la force dveloppe par lactionneur.
Dans un second lieu, les paramtres gomtriques de la structure seront radapts dans
le sens de la minimisation de lencombrement de lactionneur afin de faire concider la force
de dmarrage F
50%
avec la valeur impose par le cahier des charges et pour laquelle
lactionneur a initialement t dimensionn.
Le schma de la figure II.40 prsente lvolution de la structure et de ses performances
dune tape doptimisation lautre.







Encombrement constant
Performances maximales
Structure initiale
Performances impose
par le cahier des charges
Premire tape Deuxime tape
Choix des
dimensions
gomtriques
de construction
Encombrement minimal
Performances imposes
par le cahier des charges
Troisime tape
Figure II.40 : Synoptique de lobjectif des tapes doptimisation
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
97
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
II.5.2.1. Dtermination des facteurs influents sur la force de pousse
Le tableau II.4 prsente les facteurs susceptibles davoir une influence sur la rponse
du systme, figure II.41, ainsi que les plages de variation considres pour cette tude.
Tableau II.4 : Degrs de libert gomtriques de la structure optimiser
Facteurs Dsignations Minimum (mm) Maximum (mm)
Hauteur droite dune dent stator hs 0 0,5
Hauteur des dents rotor et stator rr 0,5 1
Inclinaison des dents zr 0,508 1,016
Largeur dencoche statorique lb 0,5 1
Epaisseur de lencoche extrieure dune
dent statorique
la 0,5 1
Epaisseur de la culasse ec 0,5 1

Il est clair que de nombreux degrs de libert ont t ajouts au contrle de la
gomtrie de faon augmenter sa capacit dexpression et faciliter son adaptation
lobjectif poursuivi.
ec hs
zr
Stator
Mobile
Bobine
R
e
R
ext
zr
la
lb
rr
rr
e
Figure II.41 : Paramtrage de la structure optimiser
_____________________________________________________________________________________________________________________________________________________________________________________
98
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
Un plan fractionnaire 2
6-1
de gnrateur I=ABCDEF a permis de dterminer les
facteurs les plus influents sur la rponse en effort statique de lactionneur. Les effets des
diffrents facteurs sont calculs pour des variations de 0,5mm sur les facteurs hs, rr, la, lb ec,
et une variation de 0,508mm sur le facteur zr en rapport avec le type de maillage paramtr
choisi de faon garantir la prcision du calcul de force partir du tenseur de Maxwell. Les
effets des facteurs, reprsents par lhistogramme de la figure II.42 sont compars au seuil
correspondant au niveau de signification 95% pour la variable de Fisher.
E
f
f
e
t
s

rr zr lb hs la ec
Figure II.42 : Effets des facteurs
Ces rsultats montrent que :
les facteurs rr, zr, lb et hs ont plus que 95% de chance pour que leurs effets soient
significatifs, cest dire plus importants que le bruit de modlisation constitu par les
rsidus des interactions qui nont pas t prises en compte (le modle considr est
sans interaction),
les facteurs la et ec ont moins de 95% de chance pour que leurs effets soient
significatifs pour la rponse.
Les facteurs qui seront retenus pour loptimisation de leffort de dmarrage de
lactionneur sont alors rr, zr et lb; hs sera fix zro puisque son effet sur la rponse que nous
souhaitons maximiser est ngatif.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
99
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
II.5.2.2. Dtermination de la force par exploration du domaine dtude
La mthode doptimisation utilise est base sur les plans dexpriences. Elle consiste
utiliser les plans treillis prsents au paragraphe II.5.1.6. En considrant les trois facteurs
retenus pour loptimisation rr, zr et lb, la figure II.43 prsente le plan treillis 5 niveaux par
facteur qui sera utilis pour loptimisation de lactionneur linaire.

rr
lb zr



Figure II.43 : Plan treillis utilis pour loptimisation de lactionneur linaire
Le calcul de force se faisant partir du tenseur de Maxwell, pour maintenir un taux de
dformation du maillage =0,98 constant tout au long de lentrefer, le facteur zr doit tre un
multiple entier du centime de la largeur dune dent. Par consquent, ce facteur ne peut
prendre que des valeurs discrtes sur son domaine de variation, ce qui explique le choix fait
sur la mthode doptimisation permettant de fixer zr des niveaux discrets.
Un maillage du domaine dtude est donc ralis avec un pas de 0,125mm sur rr et lb,
et avec un pas de 0,127mm, li la qualit du maillage de lentrefer, sur zr. Les plans treillis
sont utiliss afin de balayer le domaine dtude dans le sens de lvolution de la rponse.
Ainsi, 63 expriences sont ralises sur le premier plan 5 niveaux et trois facteurs. Dans les
mmes conditions, un plan factoriel complet aurait ncessit 125 expriences.





_____________________________________________________________________________________________________________________________________________________________________________________
100
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
En reprenant la formulation de lquation II.45, le problme rsoudre devient :


= =

=
=
=
mm 25 , 3 lb mm 0
30 ... 1 t avec mm t
100
a
zr
mm 25 , 4 rr mm 2
mm 05 , 15 R
mm 46 R
. C 43 T
: es int contra les Sous
F Maximiser
: ) P (
e
ext
% 50
(II.46)

Si loptimum de la fonction objectif est atteint sur la frontire du plan treillis, alors
celui-ci est translat de faon placer son centre sur lemplacement de ce point. Ce processus
est rpt sur le domaine de variation des facteurs, jusqu ce que loptimum devienne
strictement intrieur au plan considr ou se retrouve sur une bute.
A chaque translation, un certain nombre dexpriences est rcupr par le plan
translat. Le minimum de points rcuprs correspond au cas o loptimum se situe sur un
sommet du plan initial, dans ce cas, seulement 14 expriences sont rcupres.
Si par contre, loptimum est strictement intrieur au plan treillis, alors un plan factoriel
complet trois niveaux est construit autour de ce point ; les expriences dj ralises sur le
domaine sont rcupres et les simulations complmentaires lances. Si loptimum reste
inchang, les facteurs sont fixs leurs valeurs optimales. Sinon, la procdure de translation
continue jusqu la convergence dans le domaine dtude.
La Figure II.44 prsente la progression de la recherche de loptimum sur les deux
derniers plans treillis et sur le plan grille autour de loptimum qui conserve son emplacement.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
101
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
rr
lb
zr
3,5 4
0
,
7
6
2














1
,
2
7
2
,
5
















3
3,75 4,25
2
,
7
5



















3
,
2
5
0
,
8
8
9



















1
,
3
9
7
4 4,25
1
,
0
1
6





1
,
2
7
3


3
,
2
5
Optimum lintrieur du dernier
plan treillis
(4,125; 1,143; 3,125)
F
50%
= 41.50 N
Optimum sur la frontire de
lavant dernier plan treillis
(4; 1,143; 3)
F
50%
= 40.07N
Simulations complmentaires
pour le plan grille autour de
loptimum
Translation du plan
rr
lb
zr
rr
lb
rr
lb
zr
3,5 4
0
,
7
6
2














1
,
2
7
0
,
7
6
2














1
,
2
7
2
,
5
















3
2
,
5
















3
3,75 4,25
2
,
7
5



















3
,
2
5
0
,
8
8
9



















1
,
3
9
7
0
,
8
8
9



















1
,
3
9
7
4 4,25
1
,
0
1
6





1
,
2
7
3


3
,
2
5
4 4,25
1
,
0
1
6





1
,
2
7
3


3
,
2
5
Optimum lintrieur du dernier
plan treillis
(4,125; 1,143; 3,125)
F
50%
= 41.50 N
Optimum sur la frontire de
lavant dernier plan treillis
(4; 1,143; 3)
F
50%
= 40.07N
Simulations complmentaires
pour le plan grille autour de
loptimum
Translation du plan
Figure II.44 : Progression de la recherche de loptimum
La mthodologie dexploration du domaine dtude propose a permis de dterminer
une configuration optimale du moteur pas pas linaire tudi. Elle est caractrise par :
rr=4,125mm, zr=1,143mm et lb=3,125mm et une force de dmarrage F
50%
=41,5 N. Ce
rsultat a ncessit six translations de plans treillis soit au total environ 300 valuations. La
caractristique de force statique dveloppe par la structure optimale est reprsente sur la
figure II.45.
Figure II.45 : Caractristiques statiques de la structure optimise
-50
-40
-30
-20
-10
0
0 25 50 75 100
Dcalage (%)
F
o
r
c
e

(
N
)
Structure initiale Structure optimise
_____________________________________________________________________________________________________________________________________________________________________________________
102
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
Les caractristiques statiques de la figure II.45 montrent une augmentation de leffort
statique de dm forte influence
des fac



Ainsi, leff maximis alors
que leffort impos par le cahier des charges nest que de 20N. La deuxime tape
doptim
Si les performances amliores de lactionneur optimis ne sont pas conformes au
initialement
dimens
lle sorte que la longueur active de la structure
quadrip
ndent, il vient :
a. Structure initiale b. Structure optimise
arrage de 20,5N 41,5N soit de 103%. Ce qui dmontre bien la
teurs tudis sur la rponse de lactionneur. Sur la figure II.46 sont reprsentes la
structure initiale et celle optimise sous la contrainte dencombrement constant.




Figure II.46: Gomtries initiale et optimise de lactionneur
F
50%
= 20,5N
F
50%
= 41,5N

ort statique de dmarrage dvelopp par lactionneur a t
isation consiste donc rduire lencombrement de lactionneur, jusque l maintenu
constant, afin dadapter lactionneur son cahier des charges.
II.5.3. ADAPTATION DE LA GEOMETRIE AU CAHIER DES CHARGES
cahier des charges, ce qui est gnralement le cas puisque les structures sont
ionnes pour rpondre au cahier des charges, alors une phase de radaptation des
paramtres gomtriques est ncessaire.
Les paramtres gomtriques axiaux a, b et c, figure I.34, sont lis au pas mcanique
de la machine. Ils sont choisis de te
hase soit la plus courte possible. Ainsi, la radaptation des paramtres gomtriques
sera exclusivement applique aux dimensions radiales de la machine.
Dans le cas des machines tudies, lexpression de la force est donne par lquation
I.52. En se rfrant lquation I.58 et aux hypothses qui lui correspo
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
103
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
3
2
3
ext
2
z
z
' R ' J
R J
' F
F

ext
(II.47)
o F
z
est la force dvelopp par u achine de rayon extrieur R
ext
et alim
densit de courant J ; F'
z
est la force dveloppe par une machine de rayon extrieur R'
ext
et
alimente par une densit de courant J '. Par ailleurs, lchauffement thermique de la machine
e ne m ente par une
se traduit par une lvation de temprature T donne par :
th conv
J oule
S c
P
T= (II.48)
o c
conv
est le coefficient de convection thermique dans lair et S
th
la surface dchange
thermique de la machine avec lextrieur. Si seule la surface cylindrique de la machine
contribue lvacuation de la chaleur, lexpression de S est donne par :
Ainsi, sous la contrainte dun chauffement constant, il vient :

th
) c 3 b 4 a 8 ( R 2 S
ext th
+ + = (II.49)
1
R ' P ' T
ext J oule

' R P
T
ext J oule
= =

(II.50)
plaant les pertes J oules donn lquation I.56 dans lquation II.50 et en
hypothses qui lui correspondent, lquation II.47
peut se mettre sous la forme suivante :
En rem es par
tenant compte de lexpression I.58 et des

2
2
ext
2
ext
z
z
' R
R
' F
F
= (II.51)
insi, il apparat que lerappo t des f rces dveloppes par deux actionneurs pas pas
linaires tubulaires de structures semblables est proportionnel au carr du rapport de leurs
rayons extrieurs. Il est donc possible de dfinir le rel positif comme tant, dune part, un
rapport de rduction de force re
A r o
liant les deux actionneurs et dautre part, comme tant un
rapport dhomothtie permettant de dduire les dimensions radiales dun actionneur
dveloppant une force maximale F
z
partir de la connaissance des dimensions radiales dun
actionneur dveloppant une force maximale F'
z
.
_____________________________________________________________________________________________________________________________________________________________________________________
104
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
7 , 0
20
= = . Le Dans le cas de cette application, F
z
=20N et F = 41,5N, ainsi
z
5 , 41
rayon extrieur de la machine ainsi que son rayon dentrefer sont alors homothtiquement
rduits s leurs va
optimales dj trouves et la boucle doptimisation est de nouveau lance autour du point
caractrise par : rr=4,125mm mm et lb=3,25mm est superpose celles
dveloppes par la structure initiale et la structure optimise dans la premire tape,
figure II.47.

doptimisation. Le gain t la structure finale est
de 51%.

de la quantit . Les paramtres gomtriques rr, zr et lb sont fix leurs
optimal dj trouv. Cette procdure est rpte autant de fois que ncessaire afin de
converger vers la valeur de la force de dmarrage impose par le cahier des charges qui est de
20N. Lalgorithme de conception optimise aboutit en 1 itration trois nouvelles gomtries
optimales toutes caractrises par une force de dmarrage de 20,1N avec les mmes valeurs
des paramtres zr=1,143mm et lb=3,25mm mais avec trois hauteurs des dents diffrentes
{ } mm 25 , 4 ; mm 125 , 4 ; mm 4 rr .
La caractristique statique de force dveloppe par la structure optimale finale
; zr=1,143
-50
-40
-30
-20
-10
Dcalage (%)
F
o
r
c
e

(
N
)
0
0 25 50 75 100
Structure initiale Structure intermdiaire Structure optimise finale
Figure II.47 : Caractristiques statiques de force
La figure II.48 prsente lvolution de la structure au cours des deux premires tapes
sur lencombrement entre la structure initiale e
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
105
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
F
50%
= 20,5N F
50%
= 41,5N
F
50%
= 20,1N
Figure II.48: Evolution de la gomtrie de lactionneur au cours de loptimisation
II.5.4. ETUDE DE LA SENSIBILITE DES PARAMETRES GEOMETRIQUES

II.5.4.1. Notion de bruit et de robustesse
oins
de modlisation au moment de la simulation et
dautre de la fabrication.
qui ont du mal
fonctio
cet actionneur. Ces
perturb
numriques de modlisation. Dans un second lieu, la courbe de premire aimantation du
II.5.4.1. Notion de bruit et de robustesse
oins
de modlisation au moment de la simulation et
dautre de la fabrication.
qui ont du mal
fonctio
cet actionneur. Ces
perturb
numriques de modlisation. Dans un second lieu, la courbe de premire aimantation du
a. Structure initiale b. Structure optimise intermdiaire c. Structure optimise finale a. Structure initiale b. Structure optimise intermdiaire c. Structure optimise finale
FINAUX-ZONE DE STABILITE FINAUX-ZONE DE STABILITE
Les structures lectromagntiques, comme tout autre produit conu et optimis laide Les structures lectromagntiques, comme tout autre produit conu et optimis laide
de modles mathmatiques simuls peuvent prsenter des sensibilits plus au m de modles mathmatiques simuls peuvent prsenter des sensibilits plus au m
importantes, dune part, aux incertitudes importantes, dune part, aux incertitudes
part aux dispersions de construction au moment part aux dispersions de construction au moment
Lorsque le concepteur choisit les caractristiques dun produit ou dun systme, il
importe de les fixer avec une double optimisation qui vise la fois le fonctionnement optimal
du systme et la robustesse des rsultats. Trop souvent la robustesse du rsultat est nglige.
Les produits conus restent donc des produits valids en laboratoire
Lorsque le concepteur choisit les caractristiques dun produit ou dun systme, il
importe de les fixer avec une double optimisation qui vise la fois le fonctionnement optimal
du systme et la robustesse des rsultats. Trop souvent la robustesse du rsultat est nglige.
Les produits conus restent donc des produits valids en laboratoire
nner correctement dans un contexte moins contrl de lindustrialisation. Par ailleurs,
la disponibilit des mthodes de simulations numriques et les progrs mthodologiques, sont
la disposition du concepteur pour apprhender ces problmes de bruits.
Ainsi, nous nous proposons dans cette partie dtudier linfluence de quelques bruits
de modlisation et de construction sur la rponse de lactionneur optimis et de dgager une
zone de stabilit pour le choix des dimensions de lactionneur. Pour ce faire, de petites
perturbations sont volontairement introduites sur le modle numrique de
nner correctement dans un contexte moins contrl de lindustrialisation. Par ailleurs,
la disponibilit des mthodes de simulations numriques et les progrs mthodologiques, sont
la disposition du concepteur pour apprhender ces problmes de bruits.
Ainsi, nous nous proposons dans cette partie dtudier linfluence de quelques bruits
de modlisation et de construction sur la rponse de lactionneur optimis et de dgager une
zone de stabilit pour le choix des dimensions de lactionneur. Pour ce faire, de petites
perturbations sont volontairement introduites sur le modle numrique de
ations sont dans un premier lieu, le nombre de nuds du maillage dentrefer, la
position de la ligne dintgration du tenseur de Maxwell et le nombre de points considrs sur
la ligne pour le calcul de la force partir du tenseur de Maxwell, afin de simuler des erreurs
ations sont dans un premier lieu, le nombre de nuds du maillage dentrefer, la
position de la ligne dintgration du tenseur de Maxwell et le nombre de points considrs sur
la ligne pour le calcul de la force partir du tenseur de Maxwell, afin de simuler des erreurs
_____________________________________________________________________________________________________________________________________________________________________________________
106
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
matriau utilis ainsi que lpaisseur de lentrefer et la largeur de dents sont lgrement
modifis afin de simuler les dispersions de fabrication. Des simulations lments finis seront
donc lances sur le dernier plan factoriel trois niveaux ayant permis de localiser loptimum.
Lanalyse des rsultats obtenus permettra de dterminer une zone de stabilit sur laquelle la
rponse est maximale et peu sensible aux bruits. Cest dire que si les facteurs doptimisation
rr, zr et lb prennent leurs valeurs dans cette zone, alors les performances de la machine restent
optimales.
II.5.4.2. Plans produits et rapport signal sur bruit
Pour tudier la sensibilit des systmes aux facteurs bruits, TAGUCHI propose
dutiliser des plans produits dans lesquels les facteurs contrls, qui pilotent le systme, se
distinguent des facteurs bruits qui sont plutt subis. Deux plans dexpriences sont alors
construits, un premier pour les facteurs contrls (plan principal) et un second pour les
facteur r les expriences du plan
princip
e. Un systme sera dautant plus robuste que cette dispersion de
fonctionnement sera faible.
-ci, notamment par le biais dinteractions entre les facteurs
principaux et les facteurs bruits.
s bruits (le plan bruit). Le plan produit consiste rpte
al pour chaque configuration des facteurs bruits. Ensuite, lutilisation du rapport
signal/bruit propos par TAGUCHI, permet de raliser une double optimisation afin dobtenir
des systmes qui fonctionnent de faon optimale, mme si la modlisation ou la construction
sont bruites [Pillet 94].
La conception dun systme robuste consiste concevoir celui-ci de telle sorte quil
soit le plus possible insensible aux bruits. La traduction de ces bruits en terme de
fonctionnement se fera sous la forme de dispersions plus au moins grandes dans le
fonctionnement du systm
La double optimisation consiste donc positionner la rponse la valeur souhaite, en
faisant en sorte que les dispersions autour de cette valeur soient les plus faibles possibles.
Certains facteurs agissent sur le niveau pris par la rponse, dautres agissent plus sur les
dispersions autour de celle
Pour illustrer la notion de signal sur bruit par un exemple, la figure II.49 reprsente la
rponse dun systme obtenue en fonction de deux facteurs A et B. Le facteur A agit sur le
niveau de la rponse, par contre, le facteur B agit sur la dispersion.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
107
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________



Figure II.49 : Influence sur la moyenne des rponses et la dispersion des rsultats
Loptimisation dun procd dans le cas dune recherche de maxima soumis ces deux
facteurs A et B consistera figer le facteur B au niveau 1 pour diminuer la dispersion (le
rendre p ch.
ls
minimisent la perte engendre par les bruits extrieurs et quils augmentent la robustesse de la
conception.






A=1 A=2








B
=
2

F
a
c
t
e
u
r

B

Facteur A
B
=
1


lus robuste) et positionner A au niveau 2 de faon obtenir le maximum recher
Si plusieurs facteurs et niveaux de facteurs sont considrs, alors le rapport signal sur
bruit permet de mesurer la manire dont sont affectes les performances du systme par les
facteurs bruits. Il permet, ainsi, de dterminer les paramtres de pilotage de telle sorte qui
Dans le cas o loptimum est un maximum Taguchi propose un rapport signal sur bruit
i
sous la forme suivante [Pillet 94], [Schimmerling 98]:

=



=
=
n j
1 1
o dsigne la valeur du rapport pour une ligne du plan produit, Y
ij
la valeur de la rponse
du systme la ligne i et la colonne j du plan produit et n le nombre total dexpriences
ralises sur le plan produit.
1 j
2
ij
i
Y n
log 10 (II.52)
i

_____________________________________________________________________________________________________________________________________________________________________________________
108
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
II.5.4.3. Etude de la sensibilit de la structure aux bruits de modlisation
Le modle lments finis de lactionneur linaire tubulaire ayant permis doptimiser sa
structure a t obtenu avec :
une densit de maillage correspondant 100 nuds face une dent, (nnd=100),
une intgration du tenseur de Maxwell sur 10000 points, (pnt=10000), de la ligne
mdiane de lentrefer correspondant la position, (pos=0,5).
is,
tableau
configurat le facteur lb au niveau
1. Ce maximum est relativement trs proche des rponses grises du tableau II.5 qui sont
Lobservation de la rponse F
50%
du modle sur le dernier plan doptimisation ral
II.5, montre que le maximum correspondant F
50%
=20.101N, est atteint pour trois
ions de facteurs caractrises par le facteur zr au niveau 0 et
candidates devenir maxima sous leffet dune perturbation du modle.
Tableau II.5 : Rponse de lactionneur sur le dernier plan doptimisation
rr zr lb F
50%
(N)
-1 -1 -1 18,6272
-1 -1 0 18,8206
-1 -1 1 18,8854
-1 0 -1 19,5171
-1 0 0 19,8269
-1 0 1 20,101
-1 1 -1 18,2868
-1 1 0 18,6167
-1 1 1 18,9334
0 -1 -1 18,6272
0 -1 0 18,8206
0 -1 1 18,8854
0 0 -1 19,5171
0 0 0 19,8269
0 0 1 20,101
0 1 -1 18,2868
0 1 0 18,6167
0 1 1 18,9334
1 -1 -1 18,6272
1 -1 0 18,8206
1 -1 1 18,8854
1 0 -1 19,5171
1 0 0 19,8269
1 0 1 20,101
1 1 -1 18,2868
1 1 0 18,6167
1 1 1 18,9334
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
109
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
Il est donc impratif de interro r quan la robustesse de la structure optimale et
dtudier la sensibilit aux bruits de mo lisatio rovo ple, par des variations
relativement petites sur le nom re de uds, l nombre de points considrs sur la ligne
Un plan factoriel complet trois niveaux est considr pour les facteurs principaux et
haque niveau sont donnes par le tableau II.6.
s ge t
d n p qus, par exem
b n e
dintgration du tenseur de Maxwell ainsi que la position de cette ligne dans lentrefer.
Ainsi, un plan produit est constitu partir des facteurs principaux rr, zr et lb et des
facteurs de bruit nnd, pnt et pos.
un plan fractionnaire deux niveaux pour les facteurs bruits. Les valeurs prises par les
facteurs bruits de modlisation c
Tableau II.6 : Facteurs bruits de modlisation
Niveaux 0 1
nnd 90 110
pnt 9900 10100
pos 0,25 0,75

Le tableau II.7 prsen lan produit rsultant ainsi que les cart-types
i
et les
rapports signal sur bruit de lisation,
i
binaisons de
facteurs principaux considres.

te le p
mod , calculs pour les vingt-sept com






_____________________________________________________________________________________________________________________________________________________________________________________
110
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
Tableau II.7 : Plan produit sur le bruit de modlisation
nnd 0 0 1 1
pnt 0 1 0 1
Pos 0 1 1 0
rr zr lb Y
ij

i

i
-1 -1 -1 20,628 20,4179 20,2066 20,4835 0,17517251 26,2063474
-1 0 20,829 20,6156 20,4027 20,6859 3394 6481 -1 0,1775 26,290
-1 1 20,8948 20,6811 20,4694 20,7552 0,1775083 7384 -1 26,318
-1 0 -1 21,7336 21,3406 21,0174 21,1882 3807 7319 0,3057 26,573
-1 0 0 22,0605 21,3322 21,5159 0,30 2 26,7 7 21,6549 93977 03516
-1 0 1 22,3469 21,934 21,6105 21,8034 0,31186036 26,8163171
-1 1 -1 19,6393 19,6629 19,5677 19,5418 0,05743752 25,8463337
-1 1 0 19,9843 20,0009 19,9061 19,8917 0,05483658 25,9969336
-1 1 1 20,3159 20,3251 20,2306 20,2272 0,05303665 26,1390219
0 -1 -1 20,628 20,4179 20,2066 20,4835 0,17517251 26,2063474
0 -1 0 20,829 20,6156 20,4027 20,6859 0,17753394 26,2906481
0 -1 1 20,8948 20,6811 20,4694 20,7552 0,1775083 26,3187384
0 0 -1 21,7336 21,3406 21,0174 21,1882 0,30573807 26,5737319
0 0 0 22,0605 21,6549 21,3322 21,5159 0,30939772 26,7035167
0 0 1 22,3469 21,934 21,6105 21,8034 0,31186036 26,8163171
0 1 -1 19,6393 19,6629 19,5677 19,5418 0,05743752 25,8463337
0 1 0 19,9843 20,0009 19,9061 19,8917 0,05483658 25,9969336
0 1 1 20,3159 20,3251 20,2306 20,2272 0,05303665 26,1390219
1 -1 -1 20,628 20,4179 20,2066 20,4835 0,17517251 26,2063474
1 -1 0 20,829 20,6156 20,4027 20,6859 0,17753394 26,2906481
1 -1 1 20,8948 20,6811 20,4694 20,7552 0,1775083 26,3187384
1 0 -1 21,7336 21,3406 21,0174 21,1882 0,30573807 26,5737319
1 0 0 22,0605 21,6549 21,3322 21,5159 0,30939772 26,7035167
1 0 1 22,3469 21,934 21,6105 21,8034 0,31186036 26,8163171
1 1 -1 19,6393 19,6629 19,5677 19,5418 0,05743752 25,8463337
1 1 0 19,9843 20,0009 19,9061 19,8917 0,05483658 25,9969336
1 1 1 20,3159 20,3251 20,2306 20,2272 0,05303665 26,1390219

D s ta , m s p ons te nts
finis, lem ce a v rt e se
est donne par le tableau II.5. Cependant, la dispersion des rsultats est minimale sur la
dernir
odle construit est du second ordre et tient compte
des inte
apr le bleau II.7 algr le erturbati introdui s sur le modle lme
pla ment des optim est conser par rappo au modl non bruit dont la rpon
e ligne du tableau II.7 qui correspond au minimum des carts types calculs sur
chaque ligne du tableau.
Pour dterminer une zone robuste au bruit de modlisation sur laquelle la rponse est
maximale et relativement peu disperse, le rapport signal sur bruit est modlis sur le domaine
de variation des facteurs principaux. Le m
ractions entre les facteurs. Il est donn par lquation II.53.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
111
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
lb zr rr lb zr lb rr zr rr
lb zr rr lb zr rr
123 23 13 12
3 2 1
2 '
3
2 '
2
2 '
1 0
+ + +
+ + + + + + + =
(II.53)
Lvolution de la rponse , en fonction des facteurs gomtriques rr, zr et lb est
reprsente sur la figure II.50 partir dun seuil minimal

=26,75dB.


a. Evolution tridimensionnelle

b. Coupe au niveau 0 de rr
Figure II.50 : Rapport signal sur bruit de modlisation en fonction de la gomtrie (dB)

_____________________________________________________________________________________________________________________________________________________________________________________
112
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
Sur la zone grise de la figure II.50, la rponse du modle lments finis est non
seulement optimale mais aussi moins sensible aux bruits de modlisation ayant pour origine le
nombre de nuds du maillage ou lemplacement de ligne dintgration du tenseur de Maxwell
ou encore le nombre de points considrs sur cette ligne pour le calcul de force.
II.5.4.4. Etude de la sensibilit de la structure aux bruits de construction
Afin dapprhender leffet des dispersions de construction sur la structure optimise de
lactionneur, leffet dune perturbation de construction sur la rponse du systme est simul
par le modle lments finis. Pour ce faire, Des variations de 5% sont introduites sur la
courbe de premire aimantation du matriau et des erreurs de 0,01mm sont imposes aussi
bien la largeur des dents, qu lpa eau II.8.
Tableau II.8 : Facteurs bruits de construction
isseur dentrefer, tabl
Niveaux 0 1
Courbe B-H -5% +5%
Entrefer 0,09 0,11
Largeur de dent 5,07 5,09






La mme dmarche que celle utilise pour ltude du bruit de modlisation est adopte
pour ltude du bruit de construction. Le tableau II.9 prsente le plan produit correspondant.



_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
113
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
Tableau II.9 : Plan produit sur le bruit de construction
Courbe B-H 0 0 1 1
Entrefer 0 1 0 1
Largeur de dent 0 1 1 0
rr zr lb Y
ij

i

i
-1 -1 -1 19,0831 17,1436 4 16,8758 1,26293161 25,1044501 19,285
-1 -1 0 19,187 17,2949 19,3919 17,0303 1,23551467 25,1688651
-1 -1 1 19,1227 17,3258 19,345 17,0493 1,19027706 25,1647218
-1 0 -1 20,461 18,095 4 17,7541 1,56282776 25,6325047 20,763
-1 0 0 20,735 18,6105 21,2488 18,0338 1,5733035 25,8075507
-1 0 1 20,9456 18,3746 7 21,036 18,2688 1,5422613 25,8098828
-1 1 -1 19,2865 16,7414 19,5162 16,5652 1,59098325 25,0424591
-1 1 0 19,6076 17,0439 1 16,8657 1,60286648 25,1928469 19,839
-1 1 1 19,911 17,3327 9 17,1523 1,61238331 25,3333673 20,143
0 -1 -1 19,0831 17,1436 19,2854 16,8758 1,26293161 25,1044501
0 -1 0 19,187 17,2949 9 17,0303 1,23551467 25,1688651 19,391
0 -1 1 19,1227 17,3258 19,345 17,0493 1,19027706 25,1647218
0 0 -1 20,461 18,095 20,7634 17,7541 1,56282776 25,6325047
0 0 0 20,735 18,6105 21,2488 18,0338 1,5733035 25,8075507
0 0 1 20,9456 18,3746 21,0367 18,2688 1,5422613 25,8098828
0 1 -1 19,2865 16,7414 19,5162 16,5652 1,59098325 25,0424591
0 1 0 19,6076 17,0439 1 16,8657 1,60286648 25,1928469 19,839
0 1 1 19,911 17,3327 20,1439 17,1523 1,61238331 25,3333673
1 -1 -1 93161 25,1044501 19,0831 17,1436 19,2854 16,8758 1,262
1 -1 0 51467 25,1688651 19,187 17,2949 19,3919 17,0303 1,235
1 -1 1 19,1227 17,3258 19,345 17,0493 1,19027706 25,1647218
1 0 -1 20,461 ,095 20,7634 17,7541 1,562 6 25,6325047 18 8277
1 0 0 20,735 18,6105 21,2488 18,0338 1,5733035 25,8075507
1 0 1 20,9456 18,3746 21,0367 18,2688 1,5422613 25,8098828
1 1 -1 19,2865 4 19,5162 16,5652 1,59098325 25,0424591 16,741
1 1 0 19,6076 17,0439 19,8391 16,8657 1,60 6648 28 25,1 9 92846
1 1 1 19,911 17,3327 20,1439 17,1523 1,61238331 25,3333673

D rs table em nt d lo t
est iv u 0 1 et um dcart type ne concide e
calc du ppo signal it d ucti mod n
des te pr ipaux de er u im u a
rpo e e le et sa n p t au rs b









ap le au II.9, l placeme u maximum varie se n que la largeur de den
au n ea ou le minim avec aucune ligne de maxima. L
ul ra rt sur bru e constr on et sa lisation sur la plage de variatio
fac urs inc permet dtermin ne deux e zone s r laquelle le niveau de l
ns st v dispersio ar rappor x facteu ruit est modre, figure II.51.

_____________________________________________________________________________________________________________________________________________________________________________________
114
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________


a. Evolution tridimensionnelle

b. Coupe au niveau 0 de rr

Figure II.51 : Rapport signal sur bruit de construction en fonction de la gomtrie (dB)


_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
115
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
La figure II.51 prsente une zone de signal sur bruit de construction limite par une
surface disorponses correspondant =25,8dB. La projection de chaque point de cette zone
sur les trois plans (rr, zr) ; (zr,lb) et (lb,rr) permet de dterminer une combinaison de facteurs
(rr,zr,lb) dfinissant une structure optimale dveloppant une force maximale et offrant une
robustesse par rapport aux bruits de construction considrs.
II.5.4.5. Dtermination dune zone de stabilit
Lintersection des deux zones slectionnes de signal sur bruits de modlisation et de
construction correspond une zone stable sur laquelle le choix des facteurs principaux rr, zr et
lb permet de construire des structures optimales et robustes. En effet, sur cette zone de
stabilit, le modle lments finis donne les meilleures rponses qui sont aussi les moins
sensibles aux bruits de modlisatio tures qui en dcoulent sont assez
robustes face aux dispersions sur les cotes et les matriaux utiliss pour la fabrication de
lactio
Les seuils de signaux sur bruits tolrs sont choisis par le concepteur. La figure II.52
prsente une zone de stabilit dlimite par lintersection de deux surfaces disorponses
n. De plus, les struc
nneur optimis.
correspondant respectivement un seuil minimal de 26,75dB sur le rapport signal sur bruit de
modlisation et un seuil minimal de 25,8dB sur le rapport signal sur bruit de construction.

_____________________________________________________________________________________________________________________________________________________________________________________
116
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________

a. Intersection tridimensionnelle des deux zones
b. Coupe au niveau 0 de rr

Figure II.52 : Zone de stabilit pour le choix des facteurs doptimisation

Zone optimale
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
117
II.5. Optimisation et adaptation de la gomtrie au cahier de charges
_____________________________________________________________________________________________________________________________________________________________________________________
Ainsi, toute structure optimale, cest aximum de force et robustesse
aux bruits peut-tre dfinie par le choix de combinaisons de facteurs doptimisation (rr,zr,lb)
lintrieur de la zone de stabilit dtermine.
La dtermination de cette zone de stabilit a donc permis dlargir la notion
doptimum ponctuel la notion de zone optimale sur laquelle toutes les gomtries sont
quivalentes partir dun seuil minimal de bruit fix par le concepteur.
dire, alliant m
_____________________________________________________________________________________________________________________________________________________________________________________
118
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
II.6. ELABORATION DUNE METHODOLOGIE DE CONCEPTION
OPTIMISEE
Dans cette partie, nous reprenons la mthodologie gnrale de conception optimise
que nous avons applique et labore pour les structures lectromagntiques. Cette
mthodologie repose essentiellement sur trois tapes qui sont : le dimensionnement de la
structure, le calcul de ses performances et loptimisation de ses caractristiques. Pour ce faire,
des outils de dimensionnement, de modlisation et doptimisation ont t dvelopps et sont
rutilisables immdiatement en ce qui concerne la dclinaison dune gamme dactionneurs
linaires. Cependant, la dmarche est gnrale et peut sappliquer la conception de toute
machine.
Le dimensionnement permet dans une premire tape, de dfinir une structure initiale
rpondant aux besoins du concepteur exprims dans le cahier de charges. Cette structure nest
pas ncessairement celle qui rpond le mieux aux exigences de ce cahier de charges.
Dans une deuxime tape, la construction dun modle mathmatique de la structure
tenant compte des phnomnes physiques auxquels le concepteur sintresse, permet de
calculer les performances de la structure.
La troisime tape consiste choisir une mthode doptimisation permettant de
chercher la structure qui sadapte le mieux aux besoins du cahier de charges en se basant sur
les modles labors.
Cette dmarche a t mise en uvre pour la conception optimise de lactionneur
linaire tudi et a ncessit llaboration de plusieurs modles. En premier lieu, nous avons
labor un modle de dimensionnement analytique, par rseaux de rluctances paramtrs.
Ensuite, nous avons construit un modle numrique paramtr bas sur la rsolution des
quations de Maxwell par la mthode des lments finis permettant de calculer avec prcision
les performances de ces actionneurs. Ces deux modles paramtrs sont implments dans le
processus de conception optimise dcrit par lorganigramme de la figure II.53.
Dans le cadre de cette application, le modle numrique est rsolu en utilisant le
solveur statique du logiciel de conception assiste par ordinateur PC-Opra 2D. La procdure
doptimisation, base sur lutilisation des plans dexpriences est gre par le logiciel
doptimisation Sophemis labor au sein du laboratoire L2EP.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
119
II.6. Elaboration dune mthodologie de conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________

Performances conformes
au cahier des charges ?
Paramtres initiaux
x
0
, y
0
,
Paramtres optimaux
(x
opt
, y
opt
) et
Performances optimales
Adaptation des
paramtres
Etude de la sensibilit et
dtermination dune zone
de stabilit
Performances exiges
Optimum atteint ?
Dimensionnement par
rseaux de rluctances
Mthode doptimisation
Variation des paramtres
Estimation des performances
Modle lments finis paramtr
Cahier des charges
Figure II.53 : Organigramme de lapproche de conception optimise propose
_____________________________________________________________________________________________________________________________________________________________________________________
120
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
Le point de dpart est donc le cahier de charges dans lequel sont prsentes les
performances exiges de lactionneur concevoir.
Ces donnes sont utilises pour le dimensionnement dune structure initiale en
utilisant le modle analytique bas sur les rseaux de rluctances. Ainsi, les paramtres
gomtriques et lectromagntiques dune configuration initiale rpondant au cahier des
charges sont dtermins.
Dans un second lieu, la mthode doptimisation choisie impose des variations
certains paramtres de la structure initiale jugs influent sur la rponse du systme. Pour
chaque nouvelle configuration, les performances de lactionneur sont dtermines partir du
modle lments finis.
Lorsque loptimum est atteint, un dernier test est ralis sur la conformit des
performances atteintes avec celles exiges par le cahier des charges. Dans le cas o les
performances de la structure savrent acceptables, une tude de sensibilit de loptimum aux
bruits de modlisation et de construction est lance afin de dterminer une zone de stabilit
sur laquelle les structures sont optimales et robustes. Dans le cas contraire, les paramtres
initiaux sont radapts.
Les modles rseaux de rluctances et lments finis labors sont compltement
paramtrs. Leur fiabilit a t teste par rapport sur un prototype qui a permis de les valider.
Ces modles peuvent alors tre utiliss pour la conception de toute variante de la gamme
dactionneurs linaires tubulaires rluctance variable.
Lutilisation des plans dexpriences pour loptimisation a servi dune part, la
dtermination des facteurs influents sur la rponse du systme dans une tape de screening et
dautre part la localisation de loptimum dans une tape doptimisation proprement dite.
Toutefois, les rsultats dun screening dpendent de la fonction objectif doptimisation et
peuvent tre rutiliss dans le cas de cahiers de charges objectifs semblables.
Par ailleurs, cette mthodologie peut tre propose pour la conception optimise des
structures lectromagntiques en gnral. Elle prsente en effet, un aspect modulaire qui
permet au concepteur de choisir ses modles mathmatiques et sa mthode doptimisation.
Elle prsente galement lavantage dutiliser une dmarche de maximisation facile formuler
dans loptique de satisfaire le compromis entre les exigences du cahier de charges et les
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
121
II.6. Elaboration dune mthodologie de conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
performances dveloppes par la structure conue. La cl de cette approche est le processus
de radaptation des paramtres gomtriques aux cahiers de charges. Enfin, ltude de la
sensibilit de la structure optimale aux perturbations quelle peut ventuellement subir permet
de dterminer une zone stable garantissant loptimalit de la solution vis vis de la variabilit
des choix de simulation et galement vis vis de la variabilit dans les procds de
ralisation.
_____________________________________________________________________________________________________________________________________________________________________________________
122
Chapitre II : Mthodologie propose pour la conception optimise
_____________________________________________________________________________________________________________________________________________________________________________________
II.7. CONCLUSION

Dans ce chapitre, nous avons dvelopp une mthodologie de conception optimise
qui a t applique avec succs au cas du moteur pas pas linaire tubulaire rluctance
variable tudi.
Les rsultats obtenus ont montr lefficacit de cette mthodologie qui a permis de
rduire lencombrement de lactionneur de 51% sous la contrainte dchauffement constant
par simple action sur la forme de la denture.
Ltude des performances de lactionneur a montr dune part, la prpondrance des
phnomnes dentrefer et dautre part, la dpendance de la prcision du modle lments finis
considr vis vis du maillage de cette zone. En effet, lutilisation du tenseur de Maxwell
ncessite un maillage dentrefer dense et rgulier afin de fournir des rsultats prcis qui ont
t valids par les essais pratiqus sur le prototype.
La dmarche doptimisation propose permet dexplorer lespace de conception en
limitant le nombre de simulations et converge rapidement vers la solution optimale. Une
premire tape a consist maximiser la rponse du systme sous des contraintes
dchauffement et dencombrement constants, en figeant les valeurs des diamtres extrieur et
dentrefer. Ensuite, ltude de leffet de ces contraintes sur la rponse a permis de radapter
les performances de lactionneur au cahier des charges en une seule itration. De plus,
lutilisation des plans treillis pour loptimisation a rduit considrablement le nombre de
simulations par comparaison des plans factoriels complets et a permis de prendre en compte
un facteur discret.
Par ailleurs, ltude de la sensibilit de la structure optimale aux bruits de modlisation
et de construction a abouti la dfinition dune zone de stabilit sur laquelle le produit conu
prsente une robustesse, au sens de Taguchi, lui permettant de fonctionner correctement dans
un environnement de fabrication industriel.
La mthodologie propose est tout fait gnrale et peut sappliquer la conception de
nombreux dispositifs lectrotechniques.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
123






















CHAPITRE III :
PERFORMANCES DYNAMIQUES
ET PRECISION DE POSITIONNEMENT

Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________

TABLE DES MATIERES
III.1. INTRODUCTION...........................................................................................................124
III.2. POSITION DU PROBLEME .............................................................................................125
III.3. MODELISATION DU SYSTEME EN REGIME DYNAMIQUE ...............................................126
III.3.1. Formulation dun modle du moteur ralis......................................................126
III.3.2. Dtermination des paramtres du modle..........................................................128
III.3.3. Comparaison des rponses experimentale et simule........................................130
III.4. METHODE PROPOSEE POUR LAMELIORATION ............................................................133
DE LA PRECISION DE POSITIONNEMENT................................................................................133
III.4.1. Ide de base de la mthode.................................................................................133
III.4.2. Distribution du champ magntique dans la machine.........................................133
III.4.3. Construction des surfaces de rponses force en fonction de la position
et du courant.......................................................................................................141
III.4.3.1. Surfaces de rponses bidimensionnelles.....................................................141
III.4.3.2. Surfaces de rponses tridimensionnelles.....................................................144
III.4.4. Utilisation des surfaces de rponses pour le positionnement.............................147
III.4.4.1. Positionnement en pas entier.......................................................................147
III.4.4.2. Positionnement en micropas........................................................................149
III.4.4.2.1. Principe de la mthode propose..........................................................149
III.4.4.2.2. Gnration du micropas.......................................................................149
III.4.4.2.3. Etude du comportement dynamique par simulation.............................154
III.5. ESSAIS SUR PROTOTYPE..............................................................................................160
III.5.1. Description du banc dessais..............................................................................160
III.5.2. Caractristiques statiques de force - comparaison lments finis / essais..........161
III.5.3. Positionnement en pas entiers............................................................................163
III.5.4. Positionnement en micropas...............................................................................165
III.6. CONCLUSION..............................................................................................................169

_____________________________________________________________________________________________________


Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________

III.1. INTRODUCTION

Pour tudier les performances dynamiques des moteurs pas pas, les lois dvolution
des couples lectromagntiques, dans le cas rotatif, et des forces lectromagntiques, dans le
cas linaire, sont gnralement supposes parfaitement sinusodales [Kant 89], [Yoshida 96],
[Ben Salah 02 b], [Ben Saad 01].
Les rsultats obtenus au deuxime chapitre ont, par ailleurs, montr que les
caractristiques de forces dveloppes par lactionneur linaire tudi ne peuvent tre
assimiles des sinusodes et quelles sont fortement influences par ltat magntique de
lactionneur et par sa gomtrie interne, la forme de la denture notamment.
Dans ce troisime chapitre, une stratgie de commande est dveloppe partir dun
modle dynamique de lactionneur tudi. Elle est base sur lutilisation des surfaces de
rponses, construites partir de rsultats lments finis, donnant, dune part ,la force en
fonction de la position du mobile et des courants dalimentation des phases et permettant
dautre part de dterminer les courants dalimentation ncessaires pour un positionnement
prcis en boucle ouverte.
Dans la dernire partie de ce chapitre, les rsultats des essais pratiqus sur un
prototype quadriphas de 0,2mm dentrefer sont prsents.
_____________________________________________________________________________________________________

124
III.2.. Position du problme
_____________________________________________________________________________________________________________________________________________________________________________________

III.2. POSITION DU PROBLEME
Le comportement dynamique des moteurs pas pas en vue de leur commande est
gnralement tudi en rgime non satur, sous des hypothses de variation sinusodale de la
force lectromagntique de pousse et de symtrie parfaite des phases [Ben Amor 99],
[Khidiri 86].
Nanmoins, lorsque la variation de la rluctance est lorigine du mouvement, ces
actionneurs sont utiliss en rgime de forte saturation maximisant la force par unit de
volume. Des phnomnes magntiques non linaires apparaissent alors et affectent
considrablement les performances des moteurs [Franois 00]. Des effets de bord sont de plus
induits par la gomtrie de lactionneur linaire et entranent des dissymtries importantes
entre les diffrentes phases [Bolopion 84], [Favre 00], [Eastham 02], [El Amraoui 02 d].
Aussi est-il ncessaire de dvelopper une stratgie de commande prenant en compte le
comportement magntique des actionneurs linaires et permettant donc dassurer un
positionnement prcis aussi bien pour des dplacements en pas entiers quen pas fragments
[El Amraoui 02 b].
Cette stratgie est labore sur la base de lutilisation de surfaces de rponses donnant
la force en fonction de la position du mobile et des courants dalimentation des phases, pour
la rsolution de lquation mcanique du mouvement. Ces surfaces de rponses, vont
permettre de faire le lien entre les simulations lments finis fournissant des rsultants prcis
mais coteux en temps de calcul et une modlisation dynamique ncessitant un nombre
important dvaluations de la force en fonction de la position et des courants dalimentation.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

125
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
III.3. MODELISATION DU SYSTEME EN REGIME DYNAMIQUE
La nature impulsionnelle de lalimentation des moteurs pas pas induit des problmes
spcifiques [El Amraoui 02 c]. Ltude par simulation de ces problmes, est mene en
considrant lactionneur modlis par une quation mcanique classique et aliment partir
de sources de courant idales, la commande du systme suppos de masse constante tant
ralise en boucle ouverte.
III.3.1. FORMULATION DUN MODELE DU MOTEUR REALISE
Le prototype utilis pour les essais dynamiques est quadriphas. Son stator rsulte de
lassemblage de quatre phases cylindriques lmentaires spares par des anneaux
amagntiques, figure II.32. La partie mobile glisse lintrieur du stator en maintenant un
entrefer de 0,2mm. Les anneaux amagntiques, dimensionns de faon maintenir le pas
mcanique de lactionneur et la priodicit du mouvement, sont supposs, dans un premier
temps, assurer le dcouplage magntique des quatre phases.
Pour un fonctionnement en pas pas, chaque position initiale du mobile correspond
un dcalage de 50%, entre les dents du stator et celles du mobile, dans le cas dun recul dun
pas et un dcalage de -50% dans le cas dune avance dun pas. Par contre, la position finale
correspond dans les deux cas une position aligne pour laquelle le dcalage est de 0%.
La ralisation dun cycle lectrique entrane le dplacement de la partie mobile sur
quatre pas mcaniques, soit 10,16mm. Lalimentation successive des quatre phases entrane
une succession de rponses indicielles.
La figure III.1 prsente la rponse indicielle brute en position releve sur un
oscilloscope numrique et celle filtre. La rponse brute est obtenue par excitation de lune
des phases de lactionneur par un chelon de courant de 300Atr, le mobile tant initialement
dcal de -50% par rapport la position aligne. Le filtrage de la rponse utilisant la fonction
'filter' de Matlab a rduit les bruits de mesure mais introduit un faible dcalage temporel entre
la rponse brute et celle filtre.


_____________________________________________________________________________________________________

126
III.3. Modlisation du systme en rgime dynamique
_____________________________________________________________________________________________________________________________________________________________________________________

Dpassement
1

p
a
s

Figure III.1 : Rponses brute et filtre en position de lactionneur excit par un chelon de courant
Daprs la figure III.1, le mouvement de la partie mobile est oscillatoire prsentant un
dpassement important. Les oscillations sont dues lnergie cintique accumule en cours
du mouvement et dissipe par les frottements. Un modle mathmatique dcrivant le
comportement dynamique de cet actionneur est dvelopp dans ce qui suit.
Daprs la relation fondamentale de la dynamique, le mouvement de la partie mobile
de lactionneur peut tre dcrit par lquation III.1 [Grellet 97].

r z
2
2
F F
dt
z d
m = (III.1)
avec :
m : la masse de la partie mobile et de la charge (Kg),
2
2
dt
z d
: lacclration de la partie en mouvement (m.s
-2
),
F
z
: la force motrice (N),
F
r
: la force rsistante (N).
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

127
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
Le moteur quilibre larrt une force rsistante F
r
. qui est gnralement dcompose en un
frottement sec )
dt
dz
( signe f
0
dpendant du signe de la vitesse et un terme F
c
dpendant de la
charge de lactionneur [Abignoli 91 b], [Ben Amor 00]. Pour prendre en compte lvolution
oscillatoire amortie de la figure III.1, semblable celle dun pendule, il convient de faire
intervenir dans lquation III.1 un frottement visqueux
dt
dz
qui englobe toutes les pertes
proportionnelles la vitesse.
La dcomposition de leffort rsistant, permet de recrire lquation III.1 sous la
forme [J ufer 95], [Grellet 97]:
( )
c
0
z
2
2
F
dt
dz
signe f F
dt
dz
dt
z d
m = + (III.2)
m, f
0
et tant des paramtres intrinsques de l'actionneur supposs constants.
Il est noter que la force motrice F
z
de lquation III.2 volue en fonction de la
position et de la configuration dalimentation. Pour les fonctionnements basse frquence que
nous considrons, leffet des courants de Foucault est suppos ngligeable devant celui de
leffort statique confondu ici avec la force motrice. Cette hypothse est sans influence sur le
rgime stationnaire du moteur pas pas caractris par une vitesse nulle.
III.3.2. DETERMINATION DES PARAMETRES DU MODELE
Ayant dtermin, par simulation lments finis, la caractristique statique de force,
dveloppe par la premire phase de lactionneur quadriphas alimente par 300Atr, en
fonction de la position du mobile, lidentification de la rponse dynamique correspondante
cette mme phase avec la variable z de lquation III.2 permet de dterminer les coefficients
de frottement visqueux et sec f
0
[Bruniaux 88]. Pour ce faire, lquation III.2, discrtise
dans le temps avec un pas h, conduit, dans le cas dun fonctionnement vide, F
c
=0,
lquation suivante :
( ) ( ) [ ] ( ) C A F B m
k
k
z
k
= (III.3)


_____________________________________________________________________________________________________

128
III.3. Modlisation du systme en rgime dynamique
_____________________________________________________________________________________________________________________________________________________________________________________

o :
( )

=
300 , 2 k z
300 , 1 z
k z
F
.
.
.
F
F
vecteur des forces (III.4)
( )

+
+
=

2
2 k 1 k k
2
1 2 3
k
h
z z 2 z
.
.
.
h
z z 2 z
B vecteur des acclrations (III.5)
[ ]



=

)
h
z z
( signe
h
z z
. .
. .
. .
)
h
z z
( signe
h
z z
A
2 k 1 k 2 k 1 k
1 2 1 2
k
matrice des vitesses (III.6)
( )


=
0
f
C
vecteur des paramtres (III.7)
Lestimation du vecteur des paramtres, au sens des moindres carres, ncessite le
calcul de la pseudo-inverse de la matrice A, au sens de Penrose par exemple [Minoux 83], il
vient :
C

( ) [ ] [ ] [ ] ( ) ( ) ( )
k k
z
t
k
1 -
k
t
k
B m F . A A A C

= (III.8)
Ainsi, afin de calculer les paramtres intrinsques et f
0
du vecteur (C), la rponse
dynamique filtre de la figure III.1 est tout dabord discrtise avec un pas de 4ms.
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

129
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
Les quantits F
z

j, 300
, indiquant les valeurs prises par la force lectromagntique aux
positions z
j
, 1<jk, et pour un courant Ni=300Atr, sont ensuite calcules par interpolation du
vecteur force, dtermin partir des rsultats lments finis, pour les mmes positions z
j
de la
partie mobile de lactionneur.
La rsolution de lquation matricielle III.8 pour une partie mobile de masse 5Kg
donne: =65Nsm
-1
et f
0
=0,1N. Ces paramtres sont utiliss dans la suite pour les simulations
dynamiques.
III.3.3. COMPARAISON DES REPONSES EXPERIMENTALE ET SIMULEE
Un couplage magntique-mcanique peut tre ralis grce lassociation des rsultats
lments finis, relatifs au calcul de la force lectromagntique dveloppe par lactionneur,
lquation mcanique du mouvement. Lquation diffrentielle non linaire obtenue, ne
pouvant pas tre intgre de faon analytique, est rsolue numriquement en utilisant la
mthode de Range-Kutta dordre 4 qui a permis la dtermination de la valeur de la position
chaque intervalle de temps h, pris relativement petit. [El Amraoui 98 b], [Ben Salah 99], [Ben
Amor 00].
Sur la figure III.2, sont reprsentes la rponse dynamique releve exprimentalement
et celle obtenue par simulation numrique du modle labor caractris par les constantes
identifies pour un intervalle de temps de [0 ; 0,25] seconde.
m = 5 Kg
= 65 Nsm
-1
f
0
=0.1N
Figure III.2 : Rponses dynamiques exprimentale et simule
Cas dun intervalle dobservation [0 ; 0,25] seconde

_____________________________________________________________________________________________________

130
III.3. Modlisation du systme en rgime dynamique
_____________________________________________________________________________________________________________________________________________________________________________________

Lanalyse compare de ces rponses montre dune part, une bonne prise en compte par
le modle de lamplitude et de la largeur des dpassements et dautre part une persistance
doscillations pour celui-ci. Les carts observs peuvent avoir diffrentes origines, agissant
sparment ou en combinaison. Elles peuvent tre dues entre autres :
la qualit du filtrage, la rponse exprimentale qui mme filtre, pouvant prsenter de
petites ondulations et fausser aussi le calcul ponctuel de la vitesse et de lacclration
par diffrences finies,
La non prise en compte dans lquation III.2 de certains phnomnes physiques
pouvant se produire rellement durant le dplacement de la partie mobile de
lactionneur,
un problme de conditionnement de la mthode didentification,
Lidentification de la caractristique filtre sur lintervalle de temps [0 ; 0,4] aboutit
aux nouvelles valeurs de paramtres : =30Nsm
-1
et K
0
=1,82N. La caractristique dynamique
Figure III.3: Rponses dynamiques exprimentale et simul
simule correspondant ces coefficients est reprsente sur la figure III.3.
e
Cas dun intervalle dobservation [0 ; 0,4] seconde
Daprs la figur ients et f
0
, le rgime
oscillatoire du mobile est plus rapidement amorti. Toutefois, une erreur statique apparat sur
1. 905
2. 54
Erreur statique
m = 5 Kg
= 30 Nsm
-1
f
0
=1.82N

e III.3, il est clair quavec les nouveaux coeffic
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

131
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
la position finale. Cette erreur est due la valeur leve du coefficient de frottement sec qui
dune part, participe une attnuation plus rapide des oscillations et introduit, dautre part,
une erreur statique sur la position finale dpendant du signe de la vitesse juste avant son
annulation. Si leffet de cette erreur statique sur la rponse dynamique nest pas trs important
dans notre cas, cest grce limportance de la pente de la caractristique de force statique au
voisinage de la position dquilibre, figure III.4.
Figure III.4 : Influence du frottement sec sur la prcision de positionnement

Ai tour de la
position dquilibre, qui est dautant plus large que la pente de la caractristique de force
nsi, lexistence dun frottement sec important cre une zone morte au
statique est faible au voisinage de la position dquilibre. Par consquent, la force utile pour le
dplacement de la charge est rduite et la prcision de positionnement est perdue. En effet, en
prsence de frottement sec important, la force dveloppe par lactionneur va devoir
compenser non seulement leffet de la charge mais aussi celui du frottement sec. De plus, la
position dquilibre, normalement ponctuelle, est disperse sur la zone morte qui correspond
un frottement sec plus important que la force utile.
-15
-10
-5
0
5
10
15
-5,08 -2,54 0 2,54 5,08
Position (mm)
F
o
r
c
e

(
N
)
f
0
-f
0
K

0
-K0
Zone morte
Erreur statique
Pente au voisinage du
point dquilibre
f
0
-f
0
_____________________________________________________________________________________________________

132
III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________

III.4. METHODE PROPOSEE POUR LAMELIORATION
DE LA PRECISION DE POSITIONNEMENT
La modlisation du comportement dynamique de lactionneur va nous permettre
maintenant dtudier sa rponse diffrents rgimes de sollicitation, grce un couplage avec
des simulations lments finis ralises sur lactionneur linaire quadriphas.
III.4.1. IDEE DE BASE DE LA METHODE
La conception par lments finis de lactionneur tudi a permis de mettre en vidence
des dissymtries importantes sur la force de translation dveloppe par lactionneur surtout en
rgime de forte saturation magntique.
A partir de cette constatation, une stratgie de commande adapte, exploitant les
rsultats lments finis pour lestimation ponctuelle de la force lectromagntique partir du
tenseur de Maxwellnest recherche. Pour cela, des surfaces de rponses sont labores pour
dterminer les courants dalimentation des phases, ncessaires au positionnement prcis, sur
un cycle lectrique complet, de lactionneur quadriphas tudi.
Des surfaces de rponses fournissant pour chaque position du mobile la force
dveloppe par lactionneur sont ainsi gnres, pour la combinaison de courants choisie.
La prise en compte de la non-linarit du matriau magntique ainsi que de
laugmentation de la densit de maillage induisent videmment des temps de simulation
levs. A titre d'exemple, la rsolution du problme magntostatique pour l'actionneur linaire
quadriphas, avec un processeur Pentium

III-800GHz, sur un cycle lectrique complet


ncessite environ 12 heures pour 33 positions du noyau et pour une valeur donne des
courants.
III.4.2. DISTRIBUTION DU CHAMP MAGNETIQUE DANS LA MACHINE
Compte tenu de limportance de la qualit du maillage sur la prcision du calcul de
force par lments finis, le maillage considr est choisi semblable celui retenu au deuxime
chapitre pour le calcul de force partir du tenseur de Maxwell. Il est constitu de deux bandes
de triangles rectangles juxtaposes au niveau de lentrefer et libre lextrieur. Ainsi, pour un
entrefer de 0,2mm, 50 nuds de maillage par largeur de dent sont utiliss afin dobtenir un
taux de dformation de 0,98. Avec cette densit de maillage au niveau de lentrefer, la
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

133
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
modlisation de lactionneur quadriphas ncessite approximativement le mme nombre de
,1mm.
mobile avec les
siennes, figure III.6.a, ensuite, la phase 2, figure III.7.a, puis la phase 3, figure III.7.b et


Figure III.5 :
nuds que la modlisation de lactionneur monophas dentrefer 0
La figure III.5 prsente le maillage exploit. Les figures III.6 et III.7 montrent la
distribution du flux pour les quatre phases de la machine alimentes successivement pour
raliser une priode lectrique, dabord, la phase 1 afin daligner les dents du
finalement la phase 4, figure III.6.b.











Maillage lments finis de lactionneur tudi




_____________________________________________________________________________________________________

134
III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________

Fuites
a. Premire phase alimente b. Quatrime phase alimente
Figure III.6 : Distribution de linduction et des lignes de flux dans les phases dextrmits
de la machine




a. Deuxime phase alimente b. Troisime phase alimente
Figure III.7 : Distribution de linduction et des lignes de flux dans les phases centrales
de la m chine
Les figures III.6 et III.7 montrent par ailleurs une dissymtrie magntique importante
entre les phases centrales et les phases extrmes, principalement due aux effets de bords,
entranant une satu
Sur ces figures apparaissent aussi des fuites magntiques travers les phases non
alimentes de la machine malgr lexistence d agntiques entre celles-ci. Ces
phases engendrent la cration de forces parasit isent la force globale dveloppe par
actionneur. Lhypothse de dcouplage parfait des phases statoriques ntant ainsi plus
vrifie, il savre donc ncessaire de considrer un modle quadriphas complet de la
machine.


Effet de bord
Fuites

a
ration locale du circuit magntique [Bolopion 84].
e sparateurs am
es qui rdu
l'
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

135
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
La saturat que, observe sur les figures III.6 et III.7 tant au voisinage de
1,7 Tesla au niveau de lentrefer, montre que le circuit magntique est utilis au maximum de
ses possibilits. Par ailleurs, une certaine symtrie dans la rpartition du champ entre les
phases dextrmits et galement entre les phases centrales peut tre constate.
Pour un pas lmentaire de la machine de 2,54mm et un petit dplacement z de
0,3175mm de la partie mobile par rapport au stator, une srie de simulations lments finis a
t lance partir du solveur statique lments finis Opra 2D, pour dterm
chaque positi
lectrique sont prsentes sur la figure III.8 pour de faible, moyenne et forte saturations
correspondant respectivement des courants dalimentation de 300Atr, 600Atr et 900Atr,
haque phase tant alimente sparment.








ion magnti
iner la force pour
on prise par la partie mobile sur une priode lectrique de 10,16mm.
Les allures des caractristiques s orce lectromagntique sur une priode tatiques de la f
c








_____________________________________________________________________________________________________

136
III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________













-15
Dcalage (%)
5
10
15
Phase 1 Phase 4 Phase 3 Phase 2
-10
-5
0
F
o
r
c
e

(
N
)












Figure III.8 : Caractristiques statiques de force lectromagntique









0 50 100 150 200
a. Faible saturation Ni=300Atr
-75
-50
-25
0
25
50
75
0 50 100 150 200
Dcalage (%)
F
o
r
c
e

(
N
)
Phase 1 Phase 4 Phase 3 Phase 2
c. Forte saturation Ni=900Atr
-45
-30
-15
0
15
0 50 100 150 200
Dcalage (%)
F
o
r
c
N
)
30
45
e

(
Phase 1 Phase 4 Phase 3 Phase 2
b. Moyenne saturation Ni=600Atr
Dcalage (%)
Dcalage (%)
Dcalage (%)
F
o
r
c
e

(
N
)

F
o
r
c
e

(
N
)

F
o
r
c
e

(
N
)

_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

137
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
Les figures III.8.a, III.8.b et III.8.c mttent en vidence lexistence dun couplage
magntique entre les diffrentes phases qui est dautant plus lev que lalimentation des
phases est importante. Elles montrent aussi que laugmentation du courant dalimentation
contribue llvation de la force statique dveloppe par les phases dextrmit beaucoup
plus que s phases centrales dans lesquelles es fuites importantes apparaissent sur leurs
cots. La figure III.9 prsente la distribution des lignes de flux sur une phase centrale
alimente par 300, 600 et 900 Atr pour un dcalage de 50%.
Fi le
e
le d

a. Alimentation par 300 Atr
b. Alimentation par 600 Atr
c. Alimentation par 900 Atr
gure III.9: Distribution des lignes de flux cres par une phase centra
_____________________________________________________________________________________________________

138
III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________

La figure III.9 montre linfluence de laugmentation du courant dalimentation sur les
fuites dveloppes par la phase centrale et non pas sur sa propre saturation qui est lorigine
de la cration de la force.
La figure III.10 prsente, une comparaison entre les caractristiques de force statique
dveloppe par une phase de lactionneur lorsquelle est seule, ou alors place lextrmit
des quatre phases ou encore place au milieu. Cette figure montre un dsquilibre magntique
entre les phases dextrmit et les phases centrales ainsi quun phnomne dosmose
magntique faisant de sorte que la force dveloppe par une phase dextrmit soit suprieure
celle dveloppe par une phase entoure par de lair des deux cots.
Ainsi, leffet de saturation modifie considrablement les caractristiques de forces
suivant que la bobine se trouve au centre du dispositif ou sur une extrmit. Le comportement
dynamique de lactionneur pas pas dpend donc de ces caractristiques et est affecte par
leurs dissymtries.












_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

139
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
_____________________________________________________________________________________________________

140
-15
-10
-5
0
5
0 50 100 150
Dcalage (%)
F
o
r
c
e

(
N
)
10
15
200
Phase d'extrmite Phase centrale Phase unique


-45
-30
-15
0
0 50 100 150 200
Dcalage (%)
F
o
r
c
e

(
N
)
15
30
45 Phase d'extrmite Phase centrale Phase unique


-75
-50
-25
0
25
50
75
0 50 100 150 200
Dcalage (%)
F
o
r
c
e

(
N
)
Phase d'extrmite Phase centrale Phase unique

Figure III.10 : Caractristiques statiques de force lectromagntique
a. Alimentation par 300Atr
b. Alimentation par 600 Atr
c. Alimentation par 900Atr
F
o
r
c
e

(
N
)

Dcalage (%)
III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________

III.4.3. CONSTRUCTION DES SURFACES DE REPONSES FORCE EN
FONCTION DE LA POSITION ET DU COURANT
Pour lestimation du comportement dynamique de lactionneur en tenant compte des
effets de saturation magntique et des dissymtries existantes entre les diffrentes phases,
nous proposons dans cette partie de construire diffrents types de modles de la force
lectromagntique dveloppe par lactionneur partir des valeurs prises par celle ci pour
diffrentes positions de la partie mobile et pour diffrentes intensits de courants
dalimentations des quatre phases de lactionneur :
un premier modle bidimensionnel F
z
(z,Ni) labor pour le cas o une seule phase de
tionneur est alimente.
Un second modle tridimensionnel F
z
(z,Ni1,Ni2) construit pour le cas o deux phases
successives de lactionneur sont simultanment alimentes, permettant le
positionnement en micropas, paragraphe III.4.4.2.
III.4.3.1. Surfaces de rponses bidimensionnelles
La force lectromagntique dveloppe par lactionneur est calcule par lments finis
en 33 positions, uniformment rparties sur le cycle lectrique de fonctionnement de
lactionneur, et ceci pour chacune des quatre phases les quatre phases de lactionneur et pour
cinq valeurs de courant dalimentation 100, 200, 300, 600 et 900 Ampres-tours ; soit au total
ltats de simulation.
Le choix des niveaux de courant entre lesquels la force est estime partir dun
modle du premier ordre, a t guid par le calcul de la force statique dveloppe par
lactionneur monophas aliment par diffrents seuils de courant pour plusieurs positions du
mobile.
La figure III.11 montre lvolution parabolique de la force pour les faibles valeurs de
courant infrieures 300Atr et une volution peu prs linaire pour des courants compris
entre 300 et 600Atr et suprieurs 600Atr.




lac
165 rsu


_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

141
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________

Figure III.11 : Evolution de la force en fonction de lalimentation et de la position
lampl lobal est ralise sous forme dun
maillage en sous-dom effectues pour les quatre
somme ire de la force sur le sous-
domain
, le niveau j=1 correspondant un courant dalimentation nul et
donc une force statique nulle quelle que soit la position du mobile.









Figure III.12 : Disposition des points de simulation
pour une structure monophase
Afin de construire un modle continu de la force en fonction de la position et de
tude du courant, une interpolation du domaine g i
aines. Des simulations lments finis ont t
ts de chaque maille afin de construire un modle bilina
e correspondant cette maille.
La disposition des points de simulation dans un domaine de fonctionnement, not D
i,j
:
position du mobile - courant de la phase, avec i {1, , 33} et j{1, 2, 3, 4, 5, 6} est
prsente sur la figure III.12








z2
Ni1
Ni4
Courant de phase
Position du mobile
0.3175 mm
1
0
0

A
t
r

z
Ni
6


n
i
v
e
a
u
x

d
e

c
o
u
r
a
n
t

33 positions
z33
z1
Ni2
Sous domaine D
i,j
F
z 1,2
F
z 2,2
F F
z 1,4 z 2,4
F
z 2,3
F
z 1,3
F
z 1,1
F
z 2,1
F
z i, j
F
z i+1,,j
F
z i+1,,j+1
F
z i,,j+1
0
0 100 200 300 400 500 600 700 800 900
Ni (Atr)
10% 20% 30% 40% 50%
-40
-20
F
o
r
c
e

(
N
)
-60
_____________________________________________________________________________________________________

142
III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________

Le modle bilinaire de la force, labor sur chaque sous domaine D
i,j
de la figure
III.12, scrit sous la forme :
F
z
(z,Ni) = + Ni + z + z Ni (III.9)
, , et des paramtres calculs partir des valeurs prises par la force F
z i,j
, F
z i,j+1
, F
z i+1,j

et F
z i+1,j+1
aux diffrents sommets du sous domaine D
i,j
.
Des surfaces de rponses force en fonction de la position et du courant dalimentation
F
z
(z,Ni), sont prsentes sur la figure III.13. Elles sont construites sur un cycle lectrique pour
une phase dextrmit et une phase centrale, alimentes par des courants infrieurs 900Atr.

a. Phase dextrmit alimente

b ase centrale mente
Figure III.13 : Surfaces de rponse fo e en fonction du courant dalimentation
. Ph ali
rc
io et de la posit n du mobile
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

143
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________

loppe par lactionneur pour diffrentes positions de la partie
mobile et pour
900Atr et pour une position z de 0
10,16mm, figure III.14.




Figure III.14 : Surfaces de rponses bidimensionnelles
En procdant de la mme manire que prcdemment, quatre surfaces de rponses
SR1, SR2, SR3 et SR4 sont construites pour les quatre phases de lactionneur. Le modle
labor correspondant a t test par des simulations lments finis sur une dizaine de cas
reprs par des positions et des intensit . Lerreur ne dpassant pas 5%
pour les dix cas considrs, ce modle est donc adapt pour le dveloppement de stratgies de
commandes tenant compte des effets de saturation magntique, comme nous allons le montrer
dans ce qui suit.
III.4.3.2. Surfaces de rponses tridimensionnelles
Lorsque deux phases de lactionneur sont simultanment alimentes, lactionneur peut
avoir des positions dquilibre artificiel entre ses positions dquilibre naturel. Ainsi, pour
chaque triplet : position prise par la partie mobile de lactionneur, courant dalimentation de la
phase 1 et courant dalimentation de la phase 2, correspond une force lectromagntique. Pour
construire un modle dvolution de la force lectromagntique, des sous domaines
dinterpolation tridimensionnelle son r du domaine global. La force
lectroma rties sur
Ce type de surface de rponse peut tre utilis pour lestimation de la valeur de la
force lectromagntique dve
diffrents courants dalimentation.
Le modle ainsi dvelopp permet donc davoir la force de pousse F
z
dobtenir la
force de pousse pour une amplitude de courant Ni1 de 0


Surface de rponses
Phase 1
0<Ni1<900Atr
0<z<10,16mm
(SR1)

z (mm)
Ni1 (Atr)
F
z
1 (N)
s de courant diffrentes
t raliss lintrieu
gntique est calcule par lments finis en 33 positions uniformment rpa
_____________________________________________________________________________________________________

144
III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________

le cycle lectrique de fonctionnement de lactionneur et ceci pour les quatre phases et pour les
cinq valeurs de courant dalimentation correspondants 100, 200, 300, 600 et 900 Atr.
La figure III.15 prsente la disposition des points de simulation dans le domaine de
ul sur les deux phases et par consquent une force nulle sur toute
la priode de positionnement.
Figure III.15 : Disposition des points de simulation
par la force lectromagntique F
z i j k
, F
z i+1 j k
, F
z i j+1 k
, F
z i j k+1
, F
z i+1 j+1 k
, F
z i+1 j k+1
, F
z i j+1 k+1

et F
z i+1 j+1 k+1
, aux sommets du sous domaine D
ijk
.
fonctionnement, D
i,j,k
: position-courant de la phase 1 - courant de la phase 2, avec :
i{1,,33}, j{1, 2, 3, 4, 5, 6} et k{1, 2, 3, 4, 5, 6}. La combinaison j=1, k=1 correspond
un courant dalimentation n
Courant de la phase 1
pour une valeur fixe du courant dans la phase 2

Sur chaque sous-domaine de la figure III.15 un modle de force lectromagntique est
dvelopp partir dun plan factoriel complet deux niveaux et trois facteurs [Goupy 96] :
F
z
(z,Ni1,Ni2)= + Ni1 + Ni2 + z +
z Ni1 + z Ni2 + Ni1 Ni2 + z Ni1 Ni2 (III.10)
, , , , , , et tant les coefficients du modle dtermins partir des valeurs prises
Position du mobile
Ni1
z
Sous domaine D
i,j,k
F
z i, j, k F
6 niveaux de courant
F
z i+1,,j+1,k
z i,,j+1,k
F
z i+1,,j,k
F
z i, j,k+1
F
z i,,j+1,k+1
F
z i+1,,j,k+1
F
z i+1,,j+1,k+1
Ni2
Courant de la phase 2
6 niveaux de courant
33 Positions
( i )
( j )
( k )
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

145
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
Une surface de rponses globale F
z
(z, Ni1, Ni2) peut tre ainsi construite pour chaque
couple de phases simultanment alimentes sur le domaine global de fonctionnement. La
figure III.16 prsente deux surfaces de rponses de force de pousse correspondants une
phase dextrmit, repre par lindice 1 et une autre centrale repre par lindice 2.

a. Phase centrale alime courant varia =300Atr nte par un ble Ni2 pour Ni1

b. Phase dextrmit alimente par un courant variable Ni1 pour Ni2=300Atr
Figure III.16 : Surfaces de rponses de la force de pousse en fonction
de la position et des courants dalimentation
_____________________________________________________________________________________________________

146
III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________

Ce type de surfaces de rponses permet de :
dterminer la valeur de la force lectromagntique pour nimporte quelles valeurs de la
position et du courant lintrieur du domaine dtude.
calculer les courants dalimentation des phases de lactionneur afin de permettre
lactionneur de dvelopper une force nulle vide et dacqurir une position dquilibre
quelle soit naturelle ou artificielle.
III.4.4. UTILISATION DES SURFACES DE REPONSES POUR LE
POSITIONNEMENT
Pour le moteur tudi, il est envisag dans cette partie dlaborer une nouvelle
stratgie de commande utilisant les surfaces de rponses : force lectromagntique en fonction
de la position et des courants dalimentation. Cette stratgie de commande a pour objectif
dassurer une bonne prcision de positionnement de lactionneur aussi bien pour des
dplacements en pas entiers quen pas fragments.
III.4.4.1. Positionnement en pas entier
Les quatre surfaces de rponses bidimensionnelles, SR1, SR2, SR3 et SR4 sont
priodiques de priode ile. Elles sont,
par ailleurs, actives par une logique de commande pour gnrer la force F
z
ncessaire la
rsolution de lquation mcanique du mouvement.
Par exemple, pour gnrer un dplacement sur quatre pas mcaniques, sur une priode
de temps T, la surface de rponse SR1 correspondant la premire phase est utilise, tout
dabord, pour la dtermination de la force dveloppe par lactionneur sur lintervalle de
temps [0,T/4]. Ensuite, la surface de rponses SR2 est utilise, sur lintervalle de temps
[T/4,T/2], puis, la surface de rponses SR3 sur lintervalle [T/2,3T/4] et finalement la surface
de rponses SR4 sur lintervalle [3T/4,T].
La figure III.17 prsente un schma synoptique de la rsolution de lquation
mcanique utilisant les quatre surfaces de rponses pour un positionnement en pas entier.


10,16mm par rapport au dplacement de la partie mob

_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

147
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________



Figure III.17 :
volutions dynamiques de lactionneur sur
quatre pas mcaniques pour deux intensits diffrentes de courant.
b. Alimentation 600Atr
rent
pte des phnomnes magntiques sur la rponse dynamique de
tionneur. Cette rponse prsente une monte plus rapide et des amplitudes doscillations
lus importantes lorsque le courant dalimentation est lev.



Synoptique de la simulation dynamique en pas entiers
Ce schma peut tre aussi gnralis au cas de lalimentation des quatre phases par des
niveaux de courants diffrents sur des priodes de temps diffrentes.
Sur la figure III.18 sont reprsentes les
a. Alimentation 300Atr
Figure III.18 : Rponses dynamiques de lactionneur sur quatre pas
Les dissymtries observes entre les diffrents pas de la figure III.18 mont
linfluence de la prise en com
lac
p

Fz
f
0
m
Ni
Logique de
commande

Surfaces de rponses
Equation
z
(SR1, SR2, SR3, SR4)
F
z
(z, Ni)
mcanique du
mouvement
T
z
_____________________________________________________________________________________________________

148
III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________

III.4.4.2. Positionnement en micropas
III.4.4.2.1. Principe de la mthode propose
Si phase de la m te, alors, la partie mobile atteint sa
position dquilibre lorsque la force lectrom annule. ce principe, si
eux phases sont alimentes simultanment, il suffit que la force lectromagntique globale
dveloppe par celles-ci sannule pour que la partie mobile atteigne une nouvelle position
quilibre, cette fois-ci artificiel [El Amraoui 00]. Le choix des phases alimenter
simultanment, repose principalement sur le signe des forces lectromagntiques dans le
domaine de e la force
lectromagntique globale sannule, il faut que lune des phases dveloppe une force positive
Linversion de la surface de rponses F
z
(z,Ni1,Ni2) est exploite pour la dtermination
des courants Ni1 et Ni2 permettant lactionneur de positionner sa partie mobile en z.
Dans le cas dun fonctionnement vide, le doublet (Ni1,Ni2) est calcul de manire
ce que la force lectromagntique globale dveloppe par lactionneur soit nulle. Ainsi la
condition dquilibre impose par lquation III.2 est vrifie et lactionneur sarrte sur le
micropas tant que lalimentation des deux phases est maintenue.
Pour mettre en uvre le principe du positionnement en micropas prsent, les
premires simulations avaient pour objectif de dterminer, la position statique z, le courant
Ni2 permettant la deuxime phase de gnrer une force gale et oppose celle dveloppe
par la premire phase alimente par un courant Ni1. Le courant Ni2 a ainsi t dtermin par
inversion de la surface de rponse SR2(z,Ni) ; cest dire en cherchant lintersection de cette
surf e de rp
z
=-F
1
la position r de la force
dveloppe par la
. La figure III.19 prsente les
oppe par la premire phase de lactionneur alimente par
une seule achine est alimen
agntique s Partant de
d
d
fonctionnement stable de lactionneur. En effet, pour qu
et que la seconde phase arrive compenser celle-ci par une force ngative, pour atteindre la
position dquilibre artificiel souhaite.
III.4.4.2.2. Gnration du micropas
ac onses avec le plan F z, o F
1
est la valeu
premire phase cette mme position z.
Pour illustrer cette dmarche, nous nous sommes intress au positionnement de
lactionneur sur un demi-pas. Pour une premire phase initialement alimente par un courant
Ni1=300Atr, la deuxime phase est alimente par un courant Ni2=580Atr afin de compenser
les 9N dvelopps par la premire phase sur le demi-pas
caractristiques de force dvel
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

149
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
300Atr es deux phases simultanment alimentes
par ces deux courants.
dquilibre naturel de la
premi
e
rponse
, la deuxime phase alimente par 580Atr et l
-30
-20
0
-10
0
10
20
30
40
Dcalage (%)
F
o
r
c
e

(
N
)
Ni1=300 Ni2=580 Ni1=300 et Ni2=580 Somme des forces
Position dquilibre souhaite
Annulation de la force globale
Plage de positionnement
en micropas
Phase 1 aligne Phase 2 aligne
25 50 75 100 125 150 175 200
Figure III.19 : Forces lectromagntiques dveloppes pour un positionnement en demi-pas

La somme des deux caractristiques de force dveloppe par les deux phases
alimentes sparment est reprsente en trait fin sur la figure III.19. Elle sannule une seule
fois sur la plage de positionnement en micropas, entre la position
re phase et celle de la deuxime phase. Cependant, la position sur laquelle sannule la
caractristique de force dtermine partir des simulations lments finis pour les deux
phases simultanment alimentes par Ni1=300Atr et Ni2=580Atr ne correspond pas la
position dquilibre souhaite. Ceci peut tre expliqu par lexistence dun couplage
magntique important entre les deux phases qui ne peut tre nglig malgr la prsence de
sparateurs amagntiques, figure III.20.
Partant de cette constatation, une autre solution consiste construire des surfaces d
s en fonction de la position du mobile et du courant dalimentation de la premire
phase et de la deuxime phase, qui tiennent compte du couplage magntique de faon
pouvoir dterminer avec plus de prcision les courants dalimentation ncessaires pour le
positionnement en micropas.

_____________________________________________________________________________________________________

150
III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________



a. Premire phase alimente par Ni1=300Atr

b. Deuxime phase alimente par Ni2=580Atr

c. Premire phase alimente par Ni1=300Atr
et deuxime phase alimente par Ni2=580Atr

Figure III.20 : Effet de couplage magntique lorsque deux phases sont alimentes
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

151
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
Ainsi, quatre surfaces de rponses tridimnsionnelles SR12, SR23, SR34 et SR41
correspondant respectivement lalimentation simultane des couples de phases (1,2), (2,3),
(3,4) et (4,1) de lactionneur sur la plage de fonctionnement sont exploites pour la
dtermination des courants dalimentation ncessaires pour le positionnement en micropas. La
figure III.21, montre lvolution des courants dalimentation pour le positionnement sur
plusieurs micropas en alimentant la phase 1 et la phase 2 de lactionneur. Les fractions de pas
considrs vont de 1/8 jusqu 7/8.
Figure III.21 : Evolution des courants dalimentation pour un positionnement en micropas
Les quarts de cercle prsents sur la figure III.21 correspondent chacun lensemble
de points pour lesquels les pe es celles occasionnes par
lalimentation dun seul bobin , par 300, 450 et 600Atr.
phases
correspondants, se trouvant sur le quart de cercle P
J oule
=20,6W de la figure III.21.
e
0
150
300
450
600
0 150 300 450 600
Ni2 (Atr)
N
i
1

(
A
t
r
)
2/8
3/8
4/8
5/8
6/8
7/8
P
Joule
=20,6W
P
Joule
=11,6W
P
Joule
=5,16W
1/8
rtes Joules sont constantes et gal
age, de 530 spires de rsistance 18
Le tableau III.1 donne des exemples de micropas et les courants de
_____________________________________________________________________________________________________

152
III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________

Tableau III.1 : Courant dalimentation des phases pour un positionnement en micropas
micropas Courant dalimentation de
la phase 1 (Ni1 Atr)
Courant dalimentation de
la phase 2 (Ni2 Atr)
Position initiale
600 0
1/8 de pas 536 269
1/4 de pas 377 466
3/8 pas 272 534
1/2 de pas 228 554
5/8 de pas 190 569
3/4 de pas 154 573
7/8 de pas 108 590
1 pas 0 600

La figure III.22 montre limportance la dissymtrie existante entre une phase centrale
et une phase dextrmit. En effet, si ces deux phases avaient la mme contribution, la
sollicitation de lactionneur par deux courants Ni1 et Ni2 dintensits gales correspondrait
un positionnement sur un demi-pas et non sur un fragment de pas compris entre 0,125 et 0,25.
100
0
0 0,125 0,25 0,375 0,5 0,625 0,75 0,875 1
200
300
400
500
600
Fragment de pas
C
o
u
r
a
n
t

(
A
t
r
)
Ni1 Ni2 Ni1 thorique Ni2 thorique

Figure III.22 : Surfaces de rponses de la force de pousse en fonction de la position
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

153
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
En trait fin sont reprsentes sur la figure III.22 les formes thoriques des courants
volutions sinusodales permettant un positionnement en micropas en labsence des effets de
bord et de couplage magntique mai uival s sont alors trs
loigns des valeur
III.4.4.2.3. Etu ortement dy que par simulation
Deux phases de lactionneur linaire tudi sont simultan entes par des
courants Ni1 et Ni2 pour le positionnement du mobile sur un micropas.
Ltude du c nt dynamique dun tel actionneur est ralise par simulation
conformment au schma synoptique de la figure III.23.





Le pas de rsolution numrique de lquation mcanique du mouvement III.2 tant
fix 10s, des simulations sont ralises en vue de la fragmentation dun pas entier en
huitimes et en quarts de pas. Pour ce faire, une phase dextrmit est alimente par un
courant Ni1 et une phase centrale par un courant Ni2 conformment au tableau III.1. Les
rsultats obtenus, pour deux vitesses de dplacement diffrentes sont prsents sur les figures
III.24, III.25, III.26 et III.27.
s pertes J oules q entes. Ces dernier
s proposes.
de du comp nami
ment alim

omporteme

Figure III.23 : Schma synoptique du modle dynamique de la machine tudie
Fz
Ni2
Ni1
z
f
0
m
z
Lo
comm
Surface de
rponses
F
z
(z, Ni1,Ni2)
Equation
mca
mouvemnt
gique de
ande nique du
e
_____________________________________________________________________________________________________

154
III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________

_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

155

F
i
g
u
r
e

I
I
I
.
2
4

:

P
o
s
i
t
i
o
n
n
e
m
e
n
t

s
u
r

h
u
i
t

f
r
a
g
m
e
n
t
s

d
e

p
a
s

e
n

4

s
e
c
o
n

d
e
s
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
_____________________________________________________________________________________________________

156
F
i
g
u
r
e

I
I
I
.
2
5

:

P
o
s
i
t
i
o
n
n
e
m
e
n
t

s
u
r

h
u
i
t

f
r
a
g
m
e
n
t
s

d
e

p
a
s

e
n

2

s
e
c
o
n
d
e
s

III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________


157
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _
F
i
g
u
r
e

I
I
I
.
2
6

:

P
o
s
i
t
i
o
n
n
e
m
e
n
t

s
u
r

q
u
a
t
r
e


f
r
a
g
m
e
n
t
s

d
e

p
a
s

e
n

4

s
e
c
o
n
d
e
s

Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________

158
_____________________________________________________________________________________________________

F
i
g
u
r
e

I
I
I
.
2
7

:

P
o
s
i
t
i
o
n
n
e
m
e
n
t

s
u
r

q
u
a
t
r
e


f
r
a
g
m
e
n
t
s

d
e

p
a
s

e
n

2

s
e
c
o
n
d
e
s

III.4. Mthode propose pour lamlioration de la prcision de positionnement
_____________________________________________________________________________________________________________________________________________________________________________________

Les rsultats de simulation prsents sur les figures III.24, III.25, III.26 et III.27,
illustrent la prcision de positionnement en micropas pour les courants dalimentation
dtermins partir de la mthode propose.
La comparaison des figures III.24 et III.26 sur lesquelles le positionnement atteint son
rgime tabli sur chaque micropas, montre linfluence du nombre de fragments par pas sur les
amplitudes des oscillations. En effet, plus le nombre de micropas au sein du pas est lev plus
les oscillations autour de la position dquilibre sont attnues.
Par ailleurs, pour un pas fragment en huit micropas sur deux secondes, figure III.25,
les oscillations autour de la position dquilibre sont plus importantes que pour ce mm
rgime de fragmentation sur quatre secondes, figure III.24. Ceci peut tre expliqu par le f
que lnergie cintique emmagasine dans lactionneur nest pas encore totalement dissip
lorsque la commande impose au mobile de se dplacer dans le premier cas et quelle lest d
dans le second cas.
Sur les quatre figures, il est clair que lvolution de la caractristique dynamique
force prsente des pentes faibles au premier passage par zro sur chaque micropas. C
pentes, sont variables dun micropas un autre au sein du mme pas, affectant la prcision
positionnement en micropas en prsence de frottement sec. Si de plus, la pente est trs faib
ou le frottement sec est trs important, alors la zone morte slargit autour de la positi
dquilibre. Ce frottement sec entrave donc le bon fonctionnement de lactionneur tout
limitant les possibilits de fragmentation du pas.
La reprsentation de la vitesse en fonction de la position montre par ailleurs que po
les quatre rgimes de fonctionnement considrs, le positionnement en micropas est p
nergique sur le dbut et la fin du pas mcanique.
e
ait
e
j
de
es
de
le
on
en
ur
lus
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

159
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
III.5. ESSAIS SUR PROTOTYPE
Les essais raliss ont pour objectif, dune part, ltude de la validit des rsultats
obtenus par simulation pour le modle dynamique de lactionneur quadriphas et dautre part
III.5.1. DESCRIPTION DU BANC DESSAIS
dplacement, le nombre de pas, le sens du
mouvement, ect.

Figure III.28: Schma synoptique du banc dessais ralis
La commande assure la succession des ordres de commutation de phase un rythme
compatible avec les fonctions raliser. Compte tenu du caractre synchrone du moteur pas
pas, cette commande est prvue en boucle ouverte.
dtudier la possibilit de faire fonctionner lactionneur en micropas en rduisant le
dsquilibre qui existe entre une phase dextrmit et une phase centrale par action sur
lalimentation.
Le moteur pas pas linaire quadriphas ralis est command via un convertisseur de
puissance par un micro-ordinateur. Une carte multifonctions National Instrument

assure la
communication entre le commutateur de puissance et le micro-ordinateur. Le programme de
commande est labor sous un environnement LabView

. Plusieurs autres programmes sont


labors afin de faire varier la vitesse de
La Figure III.28 prsente le schma synoptique du banc dessais ralis.

Convertisseur de
puissance
Alimentation
de puissance
Prototype
Carte dinterface
PC
C
o
m
m
a
n
d
e

P
u
i
s
s
a
n
c
e


F
z
_____________________________________________________________________________________________________

160
III.5. Essais pratiques
_____________________________________________________________________________________________________________________________________________________________________________________

Le convertisseur est constitu de transistors fonctionnant alternativement en rgime
satur ou bloqu. Pour viter les surtensions la coupure, le convertisseur est conu de faon
uissance par des optocoupleurs. Le schma lectronique dun bras est
mraoui 98 a], [Ben Amor 99].



Figure III.29 : Bras du convertisseur de puissance

III.5.2. CARACTERISTIQUES STATIQUES DE FORCE - COMPARAISON
ELEMENTS FINIS / ESSAIS
Le b dessai e II.34 est utilis pour la dtermination des car tristiques
de forces statiques dveloppes par les quatre phases de lactionneur. Celles-ci sont
reprsentes en valeurs absolues sur la figure III.30 pour 300Atr et 450Atr.
La plage linaire du capteur de force utilis stend jusqu 20N, les mesures
suprieures cette
consquent sous estimes.
assurer la dcroissance progressive du courant au moyen dun circuit auxiliaire dextinction
[Crivii 97]. Le convertisseur de puissance utilis fonctionne en commutateur de courant. Il
renferme quatre bras indpendants. Chaque bras est conu autour dun transistor bipolaire de
puissance fonctionnant en bloqu-satur. Le circuit de commande est isol galvaniquement
du circuit de p
reprsent sur la figure III.29 [Ben Salah 97], [El A
+ Optocoupleur
+ Bobine
Circuit auxiliaire
dextinction
Phase



Circuit daide la
commutation

anc s de la figur ac

valeur se trouvent sur la zone de saturation du capteur et sont par
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

161
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
Figu u prototype

correspond
rrage jusqu la p
es en simulations
numriques.

re III.30 : Force dveloppe par les quatre phases d
Les quatre branches de caractristiques reprsents en gras sur la figure III.30
ent la plage de fonctionnement utile de lactionneur qui stend de la position de
dma osition dquilibre. La figure III.30 met en exergue les dissymtries
existantes entre une phase centrale et une phase dextrmit qui sont dautant plus importantes
que le courant dalimentation est leve conformment aux constatations fait
La figure III.31 prsente une comparaison entre les caractristiques statiques
dtermines partir des lments finis pour 300Atr et celles mesures.
a. Alimentation 300Atr
b. Alimentation 450Atr
-30
-25
-20
-15
-10
-5
0
0 2,54 5,08 7,62 10,16 12,7
Position (mm)
F
o
r
c
e

(
N
)
-30
Phase 1 Phase 2 Phase 3 Phase 4
-25
-20
-15
-10
-5
0
0 2,54 5,08 7,62 10,16 12,7
Position (mm)
F
o
r
c
e

(
N
)
Phase 1 Phase 2 Phase 3 Phase 4
_____________________________________________________________________________________________________

162
III.5. Essais pratiques
_____________________________________________________________________________________________________________________________________________________________________________________

-15
-10
-5
0
0 2,54 5,08 7,62 10,16 12,7
Position (mm)
F
o
r
c
e

(
N
)
. Pratique
Elment finis
Phase 1 Phase 2 Phase 3 Phase 4
Figure III.31 : Comparaison calcul-exprience
Les forces de dmarrage mesures concident pratiquement avec celles simules,
lerreur sur ces positions ne dpasse pas 10%. Les carts observs entre les caractristiques
simules et celles mesures peuvent tre dus aux erreurs de mesures, la prcision des
capteurs et la connaissance limite des matriaux magntiques utiliss pour la ralisation du
prototype.
III.5.3. POSITIONNEMENT EN PAS
Sur la figu ques pour
des frquences de commutation diffrentes et pour des courants dalimentation diffrents.
ENTIERS
re III.32 est reprsente lvolution de la position sur 4 pas mcani


_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

163
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
0
2,54
5,08
7,62
10,16
12,7
0 0,5 1 1,5 2 2,5 3 3,5 4
Temps (s)
P
o
s
i
t
i
o
n

(
m
m
)
0
2,54
5,08
7,62
10,16
12,7
0 0,5 1 1,5 2 2,5 3 3,5 4
Temps (s)
P
o
s
i
t
i
o
n

(
m
m
)
0
5,08
7,62
10,16
12,7
Temps (s)
P
o
s
i
t
i
o
n

(
m
m
)
2,54
0 0,5 1 1,5 2 2,5 3 3,5 4
0
2,54
5,08
7,62
10,16
12,7
0
Temps (s)
P
o
s
i
t
i
o
n

(
m
m
)
a. Avance de 4 pas la frquence 1,7Hz sous 300Atr b. Avance de 4 pas la frquence 1,7Hz sous 450Atr
c. Avance de 4 pas la frquence
0,5 1 1,5 2 2,5 3 3,5 4
17Hz sous 300Atr d. Avance de 4 pas la frquence 17Hz sous 450Atr
Figure III.32 : Rponse dynamique pour diffrents rgimes d alimentation et de commutation

Les caractristiques de la figure III.32 montrent quune augmentation du courant
dalimentation, rend la monte de la rponse dynamique plus rapide m
ents.
ais augmente aussi
lamplitude des dpassem
quence maximale de commutation des
te,
sans perte de synchronisme, pour une alimentation de 300Atr. La rponse obtenue pour la
quence limite est dans ce cas donne par la figure III.33. Elle est obtenue pour une
frquence de commutation de 17,3Hz qui correspond une vitesse moyenne de
fonctionnement de 56mm/s.







Figure III.33 : Avance de 4 pas la frquence 17,3Hz sous 300Atr

La figure III.32.d est obtenue pour une fr
phases 450Atr. Pour des frquences plus leves, lactionneur nobit plus aux ordres de
commutation. Cependant, cette frquence de commutation peut tre lgrement augmen
fr
0
2,54
5,08
7,62
10,16
12,7
0 0,5 1 1,5 2 2,5 3 3,5 4
Temps (s)
P
o
s
i
t
i
o
n

(
m
m
)
_____________________________________________________________________________________________________

164
III.5. Essais pratiques
_____________________________________________________________________________________________________________________________________________________________________________________

III.5.4. POSITIONNEMENT EN MICROPAS
Lalimentation simultane dune phase dextrmit et dune phase centrale de
lactionneur par des courants dsquilibrs a permis de vrifier la possibilit de faire
fonctionner le prototype en micropas.
La figure III.34 illustre les positions dquilibre intermdiaire prises par lactionneur
ali courants (i1,i2) correspondant aux am
du tableau III.1 pour des bobinages de 530 spires. Sur cette mme figure sont reprsentes les
positions dquilibre simules.
Les rsultats de la figure III.34 montrent une forte dissymtrie entre la phase
extrmit et la phase centrale qui est plus importante que celle estime par les simulations.
erreur sur le positionnement est dautant plus importante que le fragment de pas est grand.
ent d aux fortes augmentations du courant de la phase2 ncessaires pour
penser la force cre par la phase1 sur le premier demi-pas, et son volution faible sur le
euxime demi-pas qui fait que une petite variation de i2 induit une grande variation de la
entales, le positionnement sur sept huitimes de
pas ncessite u r 0,1A sur la phase 1, difficile atteindre avec prcision en
pratique.
ment par les diffrentes combinaisons de pres-tours
0,8
0,4
0,6
0
0,2
0 0,125 0,25 0,375 0,5 0,625 0,75 0,875 1
Position (Pas)
1
1,2
C
o
u
r
a
n
t
s

(
A
)
Courant i2
Courant i1
Positions dquilibre simules
Positions dquilibre exprimentales

Figure III.34 : Positionnement en micropas

d
L
Ceci est essentiellem
com
d
position. Daprs les caractristiques exprim
n courant infrieu
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

165
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
Les courants dalimentation thoriques, ncessaires pour un positionnement en quarts
r effectivement sur les quarts de pas viss. Le tableau
III.2 prsente les valeurs thoriques des courants i1 et i2 ainsi que les valeurs exprimentales
eur par les couples de courant (i1,i2
exprimental
) a permis de
positionner le mobile de lactionneur sur les quarts de pas. Cependant, la rptition des
expriences a montr que le positionnement est entach derreurs plu ins importantes
suivant le quart de pas considr. Chaque exprience a t rpte dix fois et un cart-type a
t calcul pour chaque quart de pas, tableau III.2.
Tableau III.2 : Courants dalimentation pour un positionnement en quarts de pas

La figure III.35 prsente les rponses sollicit par les

micropas Courant dalimentation
de la phase 1 : i1 (A)
Courant dalimentation de
la phase 2 : i2
thorique
(A)
Courant dalimentation de
la phase 2 : i2
exprimental
(A)

de pas avec des pertes J oule fixes 11,6W sont dtermins partir de la surface de rponses
SR12. Les valeurs du courant i1 trouves sont fixes et les courants i2 sont rajusts de faon
permettre au mobile de se positionne
de i2 dtermines pratiquement.
Pour la premire srie dexpriences ralises, lalimentation simultane des deux
premires phases de lactionn
s au mo
Position initiale
0,85
0 0
0,005
1/4 de pas 0,66 0,33 0,8 0,023
2/4 de pas 0,43 0,73 1,2 0,034
3/4 de pas 0,28 1,4 0,086 0,79
1 pas 0,85 0,005 0 0,85
dynamiques de lactionneur
courants i1 et i2
exprimental
du tableau III.2. La position dquilibre possible sinscrit dans une
bande exprimentale centre sur la moyenne des rponses mesures et limite par .





_____________________________________________________________________________________________________

166
III.5. Essais pratiques
_____________________________________________________________________________________________________________________________________________________________________________________

0,5
0,75
1
P
o
s
i
t
i
o
n

(
m
m
)
0,25
-
0
0 0,2 0,4 0,6 0,8 1
Temps (s)
+
0
0,25
0,5
0 0,2 0,4 0,6 0,8 1
T (s)
s
i
t
i
o
m
)
-
+

Figure III.35 : Positionnement en micropas de lactionneur

0,75
1
emps
P
o
n

(
m


0
0,25
1
0 0,2 0,4 0,6 0,8 1
Temps (s)
P
o
a. Positionnement sur un quart de pas
0,5
0,75
s
i
t
i
o
n

(
m
m
)
-
+

c. Positionneme t sur trois quart de pas
b. Positionn ur un demi pas ement s
n
_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

167
Chapitre III : Performances dynamiques et prcision de positionnement
_____________________________________________________________________________________________________
Ces rsultats ainsi que dautres obtenus partir des expriences ralises pour des
positionnements en huitimes de pas prouvent encore une fois que le positionnement en
micropas est plus ais sur le premier que sur le second demi-pas.
Les diffrentes rponses dynamiques de la figure III.35 sont releves en partant de la
mme position dquilibre stable correspondant lalimentation de la phase dextrmit par
un courant i1=0,85A. Ensuite, lalimentation est coupe et enfin, les deux courants i1et i2 sont
injects simultanment dans les phases.
_____________________________________________________________________________________________________

168
III.6. Conclusion
_____________________________________________________________________________________________________________________________________________________________________________________

III.6. CONCLUSION

Dans ce chapitre nous avons dvelopp une approche de commande base sur un
couplage mcanique-magntique permettant dassurer un positionnement prcis, tenant
compte des effets de saturation en prsence de couplages, de dissymtries et de fuites
magntiques entre les phases de lactionneur linaire.
Nous avons montr, dans la premire partie du chapitre, la manire dont le problme
difficile didentification des paramtres de lquation mcanique dcrivant le mouvement de
lactionneur est rsolu.
Ensuite, partir des paramtres retenus et des surfaces de rponses des forces en
fonction de la position du mobile et des courants dalimentation des phases, construites
partir des simulations lments finis, nous avons labor un modle dynamique de
lactionneur qui nous a permis de simuler son fonctionnement aussi bien en pas entiers quen
micropas.
Nous avons donc prouv, par simulations numriques, que lapplication de la stratgie
de commande propose assure une prcision de positionnement en micropas pour les courants
dalimentation dtermins partir de linversion des surfaces de rponses.
Les essais pratiques effectus sur le banc dessais ralis ont, par ailleurs, montr que
les dissymtries existantes entre les phases dextrmits et les phases centrales peuvent
effectivement tre pallies en dsquilibrant convenablement les courants dalimentation des
phases.





_ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ _

169























CONCLUSION GENERALE

Conclusion gnrale
_____________________________________________________________________________________________________________________________________________________________________________________
CONCLUSION GENERALE

Llaboration dune mthodologie de conception optimise pour les moteurs pas
pas linaires tubulaires rluctance variable ainsi que le dveloppement dune stratgie de
commande sur la base de surfaces de rponses calcules par lments finis et introduites
dans le modle dynamique constituent les principales contributions de nos travaux de
recherche.
Ces approches ont t appliques la conception, loptimisation et la commande
dun premier prototype de moteur pas pas linaire tubulaire rluctance variable ralis
pour la motorisation dun chariot de perceuse de circuits imprims permettant la translation
et le positionnement du systme de perage. Ce prototype, dimensionn de faon gnrer
un pas de dplacement linaire de 2,54 millimtres et fournir une force de dmarrage de 20
Newtons sur chaque pas, a permis de tester les diffrents modles labors.
La mthodologie de conception optimise propose est base sur lutilisation des
plans dexpriences et sappuie aussi bien sur llaboration de modles rseaux de
rluctances pour le dimensionnement que sur llaboration de modles lments finis pour
lestimation des performances.
Ces modles ont t utiliss pour le calcul de la force statique dveloppe par
lactionneur. Les rsultats obtenus partir du rseau de rluctances ont montr limportance
de la prise en compte de la non-linarit du matriau magntique dans la modlisation et la
prpondrance des phnomnes dentrefer dans lactionneur modlis. Par ailleurs, les
rsultats lments finis ont montr lexistence dun lien troit entre le choix de la mthode de
calcul de force utilise et la prcision du rsultat obtenu. En effet, la mthode du tenseur de
Maxwell ncessitant un maillage dentrefer dense et rgulier en triangles isocles rectangles,
prsente une forte sensibilit la dformation du maillage. Par contre, la mthode exploitant
le concept de conergie magntique se caractrise par une stabilit remarquable vis vis du
maillage dentrefer et fournit des rsultats aussi prcis que ceux obtenus par le tenseur de
Maxwell avec une densit de maillage beaucoup plus rduite.
Cette mthodologie a t applique au moteur linaire tudi et a permis de
dterminer une zone de stabilit offrant un compromis entre la prcision du modle et la
prcision de ralisation de lactionneur. Ainsi la structure optimise doit pouvoir tre
fabrique industriellement sans dgradation de ses performances.
___________________________________________________________________________

170
Conclusion gnrale
_____________________________________________________________________________________________________________________________________________________________________________________
Tous les modles labors sont paramtrs et peuvent tre appliqus pour la
conception optimise dune gamme dactionneurs linaires tubulaires rluctances variables
rpondant des cahiers de charges diffrents. Les essais statiques raliss sur le prototype
ont valid la dmarche de dimensionnement par rseaux de rluctances ainsi que le calcul
de force obtenu partir des modles lments finis.
La stratgie de commande dveloppe assure un couplage entre les quations
mcanique et magntiques grce lutilisation de surfaces de rponses construites partir
de la force dveloppe par lactionneur en fonction de la position du mobile et des courants
dalimentation des phases, dtermines partir de simulations lments finis. Ce couplage
hors ligne diminue considrablement le temps de calcul en comparaison des simulations
magntodynamiques et semble particulirement bien adapt ltude du comportement
dynamique des moteurs pas pas fonctionnant en pas entiers ou en micropas.
Au cours de la ralisation du prototype, nous avons t confront la difficult du
centrage de la partie mobile lintrieur du stator avec un entrefer de 0,1 millimtre. Il a donc
t ncessaire de rectifier le diamtre intrieur du stator afin de doubler lentrefer et
diminuer, par consquent, leffort dattraction radial. Cet effort se manifeste en prsence de
courants dalimentation importants et provoque un collage de la partie mobile contre la partie
fixe. De plus, malgr lutilisation de roulements billes, un frottement sec contribue la
cration de zones mortes de fonctionnement ayant pour consquence une dispersion du
positionnement. Cette dispersion tant dautant plus importante que lalimentation de
lactionneur est faible. Cest pourquoi, nous avons choisi deffectuer des essais pour des
courants dalimentation dintensits moyennes afin de sloigner de ces deux phnomnes
antagonistes.
Des essais pratiqus sur le prototype ont galement mis en exergue lexistence de
dissymtries importantes entre les phases dextrmit et les phases centrales de lactionneur
qui sont dautant plus importantes que la machine est sature.
Les essais dynamiques ont montr limportance de la prise en compte de ltat
magntique de lactionneur sur sa rponse dynamique, et prouv la possibilit de faire
fonctionner lactionneur linaire en micropas.
De nombreuses perspectives peuvent tre envisages pour faire suite ce travail.
Afin damliorer la prcision de positionnement en tenant compte des caractristiques
physiques du moteur, des surfaces de rponses donnant les forces en fonction de la position
du mobile et des courants dalimentation des phases peuvent tre dtermines partir
dessais pratiques.
___________________________________________________________________________
171
Conclusion gnrale
_____________________________________________________________________________________________________________________________________________________________________________________
La notion de surfaces de rponses peut tre tendue pour la ralisation dautres
types de couplages entre les diffrentes quations dcrivant le fonctionnement de
lactionneur, comme par exemple des surfaces de rponses dinductances pour le couplage
avec les quations lectriques.
Ensuite, un calcul volutif pourra, tre utilis pour llaboration de commandes en
lignes partir dun stockage dinformations permettant une volution de la commande en
fonction de certaines variations. Il est galement possible denvisager de dvelopper des
commandes en boucle ferme utilisant leffet rluctant de lactionneur comme indicateur de
position afin de saffranchir des problmes de positionnement provoqus par le frottement
sec.
Les modles, et les stratgies de commandes labores pourront tre implmentes
sur le banc dessai ralis qui offre une grande souplesse dutilisation ainsi quune volution
facile apporte par une partie commande ralise sous forme logicielle.
Par ailleurs, ltude magntodynamique dactionneurs linaires plus vloces avec
prise en compte des courants de Foucault ainsi que limplantation du calcul de force partir
de la drive du jacobien local, en post-traitement sur des rsultats lments finis nous
semblent tre des points particulirement intressants dvelopper.
Et cest dans ce sens que nous envisageons de poursuivre nos travaux de recherche.
___________________________________________________________________________

172























BIBLIOGRAPHIE

Bibliographie
_____________________________________________________________________________________________________________________________________________________________________________________


BIBLIOGRAPHIE



[Abignoli 91 a] ABIGNOLI M.
"Evaluation du comportement dynamique dun actionneur incrmental partir des
seules caractristiques externes de la charge et du moteur", Revue Gnrale
dElectricit, N6, pp.51-53. 1991.

[Abignoli 91 b] ABIGNOLI M. et GOELDEL C.
"Moteurs pas pas", Techniques de lingnieurs, trait Gnie Electrique, D3690,
pp.1-20, 1991.

[Allgre 98] ALLEGRE J.M.
"Caractrisation lectromcanique des actionneurs lectriques aimants
permanents", Thse de Docteur en Sciences, Universit de Paris XI, Orsay, 21
Janvier 1998.

[Ben Amor 00] BEN AMOR A., BEN SALAH B., BEN HADJ BRAIEK E. et BENREJEB M.
"Identification paramtrique exprimentale dun moteur pas pas linaire",
CIFA 2000, Premire Confrence Internationale Francophone dAutomatique,
Lille 2000.

[Ben Amor 99] BEN AMOR A.
"Sur la conception, la ralisation et loptimisation des performances dun ensemble
convertisseur-moteur pas pas linaire tubulaire", Thse de Doctorat, Ecole
Nationale dIngnieurs de Tunis, 1999.

[Ben Saad 01] BEN SAAD K.
"Sur une mthode de commande pour lamlioration du mouvement incrmental
des moteurs pas pas aimants permanents", Journes Scientifiques JS2001,
Ecole de lAviation de Borj El Amri 2001.

[Ben Salah 02 a] BEN SALAH B. and BENREJEB M.
"A digital control for improving the position resolution of variable reluctance
stepping motors", IEEE SMC 02, IEEE International Conference on Systems and
Man Cybernetics, Hammamet 2002.

[Ben Salah 02b] BEN SALAH B. et BEN SAAD K.
"Sur une approche de lissage du mouvement incrmental des moteurs pas pas
linaires", GEI2002, Deuximes Journes Scientifiques des Jeunes Chercheurs en
Gnie Electrique et Informatique, Hammamet 2002.
___________________________________________________________________________
173
Bibliographie
_____________________________________________________________________________________________________________________________________________________________________________________
[Ben Salah 99] BEN SALAH B., EL AMRAOUI L., BENREJEB M., BROCHET P.
"Sur lamlioration de la prcision de positionnement des moteurs pas pas
charge non fluctuante", Revue : Les Annales Maghrbines de lIngnieur, vol.13,
N2, 1999.

[Ben Salah 97] BEN SALAH B.
"Dveloppement de mthodes de commande numrique pour lamlioration de la
rsolution angulaire et des performances des moteurs pas pas", Thse de
Doctorat, Ecole Nationale dIngnieurs de Tunis, 1997.

[Bianchi 01] BIANCHI N., BOLOGNANI S. and TONEL F.
"Design considrations for a tubular linear PM Servo-Motor", EPE Journal, vol.11,
N3, August 2001.

[Binns 92] BINNS K.J., Lawrenson P.J., Towbridge C.W.
"The analytical and numerical solution of electric and magnetic fields", Edition
British Library Cataloguing in Publication Data, England 1992.

[Bolopion 84] BOLOPION A.
"Etude critique de modles du moteur linaire induction", Thse de Docteur
dEtat es-Sciences, Universit scientifique et mdicale de Grenoble,1984.

[Brisset 01] BRISSET S., GILLON F., VIVIER S. and BROCHET P.
"Optimization with experimental design : an approach using Taguchis methodology
and finite element simulations", IEEE Transactions on Magnetics, vol.37, N5,
september 2001.

[Brisset 95] BRISSET S.
"Outil et mthodologie pour la conception des moteurs rluctance variable
double saillance", Thse de Doctorat, Universit des Sciences et Technologies de
Lille, 1995.

[Bruniaux 88] BRUNIAUX P.
"Contribution la modlisation non linaire, lidentification et la commande en
boucle ouverte des moteurs pas pas hybrides", Thse de Doctorat, Universit
des Sciences et Techniques de Lille, 1988.

[Blent Ertan 99] BLENT ERTAN H.
"Precision of torque and inductance displacement characteristics of asymmetrically
slotted variable reluctance motor using a simplified model for numerical field
solution", IEEE Transactions on Magnetics, vol.35, N5, september 1999.

[Coulomb 97] COULOMB J.L.
"About sensitivity Analysis using high order derivative", ICS Newsletter, vol.4, N1,
March 1997.
___________________________________________________________________________
174
Bibliographie
_____________________________________________________________________________________________________________________________________________________________________________________
[Coulomb 83] COULOMB J.L.
"A methodologie for the determination of global electromechanical quantities from a
finite element analysis and its application to the evolution of magnetic forces,
torques and stiffness", IEEE Transactions on Magnetics, vol.19, N6, November
1983.

[Crivii 97] CRIVII M., JUFER M.
"Two phase SR Motor moddeling using a finite element method coupled with the
driver", EME97, pp.3596-3601, 1997.

[De La Morinais 90] DE LA MORINAIS G.C.
"Contribution la modlisation des phnomnes magntodynamiques en trois
dimensions", Thse de Doctorat, Institut Polytechnique de Grenoble, 1990.

[Delforge 95] DELEFORGE C.
"Modlisation dun actionneur synchrone et de sa commande vectorielle par
rseaux de permances", Thse de Doctorat, Universit de Sciences et
Technologies de Lille, 1995.

[Desesquelles 88] DESESQUELLES P.F.
"Etude paramtrique des performances intrinsques des machines multientrefer
rluctance et aimants alterns", Thse de Docteur-s Sciences, Universit de
Paris VI, 1988.

[Droesbeke 97] DROESBEKE J.J., FIE J.F., SAPORTA G.
"Plans dexpriences-Application lentreprise", Edition Technip, Paris 1997.

[Eastham 02] EASTHAM J.F., PROFUMO F., TENEONI A., GIANALIO G.
"Linear drive in industrial application : state of the art and open problems",
ICEM02, 15
th
International Conference on Electrical Machines, Bruges 2002.

[Eastham 92] EASTHAM J.F., AKMESE R., RODGER D., HILL- COTTINGHAM R.J.
"Prediction of thrust force in tubular induction machines", IEEE Transaction on
magnetics, vol. 28, N2, March 1992.

[El Amraoui 02 a] EL AMRAOUI L., GILLON F., VIVIER S., BROCHET P., BENREJEB M.
"Optimal design and experimental tests of linear tubular switched reluctance
machine", IEEE SMC 02, IEEE International Conference on Systems and Man
Cybernetics, Hammamet 2002.

[El Amraoui 02 b] EL AMRAOUI L., GILLON F., BROCHET P., BENREJEB M.
"Performance estimation of linear tubular actuators" MAGLEV2002, The 17
th

International Conference on Magnetically Levitated Systems and Linear Drives,
Lausanne 2002.

___________________________________________________________________________
175
Bibliographie
_____________________________________________________________________________________________________________________________________________________________________________________

[El Amraoui 02 c] EL AMRAOUI L., GILLON F., CASTELAIN A., BROCHET P., BENREJEB M.
"Experimental validation of a linear tubular actuator design", 15
th
International
Conference on Electrical Machines ICEM02, Bruges 2002.

[El Amraoui 02 d] EL AMRAOUI L., GILLON F., BROCHET P., BENREJEB M.
"Exploitation de la mthode des lments finis pour un positionnement en micropas
dun moteur pas pas linaire tubulaire", CIFA2002, Confrence Internationale
Francophone dAutomatique, Nantes 2002.

[El Amraoui 02 e] EL AMRAOUI L., GILLON F., BROCHET P., BENREJEB M.
"Influence du taux de distorsion du maillage sur le calcul de force dun vrin
lectrique", Deuxime Confrence Internationale JTEA02, tome 3, pp. 194-201,
Sousse 2002.

[El Amraoui 02 f] EL AMRAOUI L., GILLON F., BROCHET P., BENREJEB M.
"Mthodes de calcul par lments finis de la force de pousse dans un vrin
lectrique", GEI2002, Deuximes Journes Scientifiques des Jeunes Chercheurs
en Gnie Electrique et Informatique, Hammamet 2002.

[El Amraoui 01 a] EL AMRAOUI L., GILLON F., BROCHET P., BENREJEB M.
"Design of a linear tubular step motor", Electromotion01, 4th International
Symposium on Advanced Electromechanical Motion Systems, vol. 1, pp. 223-228,
Bologna 2001.

[El Amraoui 01 b] EL AMRAOUI L., GILLON F., BROCHET P., BENREJEB M.
"Modeling of linear tubular step motor", SSD01, Smart Systems and Devices,
pp. 153-157, Hammamet 2001.

[El Amraoui 00] EL AMRAOUI L., BEN SALAH B., BEN AMOR A., BENREJEB M.
"On implementation of a vectorial control system for a step motor",
SPEEDAM2000, Symposium on Power Electronics, Electrical Drives, Automation &
Motion, pp. BB17-12, Ishia 2000.

[El Amraoui 98 a] EL AMRAOUI L., BEN AMOR A., BEN SALAH B.
"Etude et ralisation dune commande pas fragments de moteurs pas pas
aimant", Revue : Les Annales Maghrbines de lIngnieur, vol. 12, N Hors srie,
tome1, pp. 133-137, 1998.

[El Amraoui 98 b] EL AMRAOUI L.
"Sur le positionnement en micropas de moteurs pas pas en charge". Mmoire de
D.E.A., Ecole Nationale dIngnieurs de Tunis, 1998.



___________________________________________________________________________
176
Bibliographie
_____________________________________________________________________________________________________________________________________________________________________________________
[Faucher 81] FAUCHER J.
"Contribution ltude des machines rluctance variable commutation
lectronique", Thse de Docteur-s Sciences Physique, Institut National
Polytechnique de Toulouse, 1981.

[Favre 00] FAVRE E., BRUNNER C. et PIAGET D.
"Principe et application des moteurs linaires", LAutomatisme, N9, pp.48-56,
Mars-Avril 2000.

[Favre 99] FAVRE E., BRUNNER C. et PIAGET D.
"Les entranements linaires directs", Confrence sur la Conversion
Electromcanique Directe, pp.31-40, ENS Cachan , fvrier 1999.

[Franois 00] FRANCOIS B., KESTELYN X. and HAUTIER J.P.
"A torque estimator for a switched reluctance motor using an orthogonal neural
network", SPEEDAM2000, Symposium on Power Electronics, Electrical Drives,
Automation & Motion, BB1 pp. 23-28, Ishia 2000.

[Gieras 02] GIERAS J.F. and WING M.
"Permanent magnent motor technology, design and applications", Edition Marcel
Dekker, United States of America 2002.

[Gillon 97] GILLON F.
"Modlisation et optimisation par plans dexpriences dun moteur commutations
lectroniques", Thse de Doctorat, Universit des Sciences et Technologies de
Lille, 1997.

[Gillon 96] GILLON F., BROCHET P.
"Taking into account some three-dimensional effects in the modeling of a brushless
permanent-magnet motor", ELECTRIMACS96, 5
th
International Conference,
Modelling and Simulation of ELECTRIc MAchines Converters and Systems, p.639,
Saint-Nazaire 1996.

[Gitosusastro 88] GITOSUSASTRO M.S.
"Analyse de sensibilit et optimisation par rapport aux paramtres de construction
dans des systmes lectromagntiques", Thse de Doctorat, Institut National
Polytechnique de Grenoble, 1988.

[Goupy 99] GOUPY J.
"Plans dexpriences pour surfaces de rponse", Edition Dunod, Lige 1999.

[Goupy 96] GOUPY J.
"La mthode des plans dexpriences : optimisation du choix des essais et de
linterprtation des rsultats", Edition Dunod, Paris 1996.

___________________________________________________________________________
177
Bibliographie
_____________________________________________________________________________________________________________________________________________________________________________________
[Grellet 97] GRELLET G. et CLERC G.
"Actionneurs Electriques, principe / modle / commande", Edition Eyrolles,
Paris 1997.

[Grenier 01] GRENIER D., LABRIQUE F., BUYSE H. et MATAGNE E.
"Electromcanique - Convertisseurs dnergie et actionneurs", Edition Dunod,
Paris 2001.

[Hammond 86] HAMMOND P.
"Energy methods in electromagnetism", Edition Clarendon Press,Oxford 1986.

[Hans 91] HANS T., FILIPPINI J. et GUYENOT P.
"Asservissements numriques, lments de cours-applications", Edition Eyrolls-
France 1991.

[Haoura 98] HAOUARA I.
"Contribution ltude, la modlisation et loptimisation dune structure de machine
rluctance variable excite par des aimants permanents", Thse de Doctorat,
Universit des Sciences et Technologies de Lille, 1998.

[Hecquet 95] HECQUET M.
"Contribution la modlisation des systmes lectrotechniques par la mthode des
schmas quivalents magntiques. Application lalternateur automobile", Thse
de Doctorat, Universit des Sciences et Technologies de Lille, 1995.

[Hoang 02] HOANG E., AMARA Y., LECRIVAIN M., GABSI M.
"Machine synchrone aimants permanents structure commutation et
concentration de flux principes et rsultats exprimentaux", EF2002,
Electrotechnique du Futur, pp.177-181, Nancy 2000.

[Hu 02] HU G.
"Analysis of eddy currents in a permanent magnet tubular slotless motor housing
du to motion", ICEM02, 15
th
International Conference on Electrical Machines,
Bruges 2002.

[Imhoff 89] IMHOFF J.F.
"Modlisation magntique et mcanique des machines lectriques par la mthode
des lments finis", Thse de Doctorat, Institut National Polytechnique de
Grenoble, 1989.

[Jufer 95] JUFER M.
"Electromcaniques". Presses Polytechniques et Universitaires Romandes,
Lausanne 1995.


___________________________________________________________________________
178
Bibliographie
_____________________________________________________________________________________________________________________________________________________________________________________
[Kant 89] KANT M.
"Les actionneurs lectriques pas pas", Edition Herms, Paris, 1989.

[Kauffman 92] KAUFFMAN J. M.
"Les petits moteurs volution et perspectives", 7me Colloque International sur
les Moteurs Pas Pas, pp. 1-12, Nancy, 1992.

[Khan 92] KHAN S.H. and IVANOV A.A.
"Method of calculation of magnetic fields and static characteristics of linear step
motors for control and drives of nuclear reactors", IEEE Transactions on Magnetics,
vol.28, N5,September 1992.

[Khidiri 86] KHIDIRI J.
"Alimentation et commande dun actionneur linaire triphas flux transversal",
Thse de Docteur Ingnieur, Universit des Sciences et Techniques de Lille
Flandres-Artois, 1986.

[Lacroux 89] LACROUX G.
"Les aimants permanents", Edition Tec & doc- Lavoisier, Paris 1989.

[Laforie 74] LAFORIE P., CALMESNIL W. et MALOIGNE P.
"Moteurs pas pas linaires rluctance variable de petite puissance", Revue
Gnrale dElectricit, Tome 83, N4, pp.220-225, Avril 1974.

[Landau 88] LANDAU I.D.
"Identification et commande des systmes", Edition Hermes, 1988.

[Lee 00] LEE B.S., BAE H.K., VIJAYRAGHAVAN P. and KRISHNAN R.
"Design of a linear switched reluctance machine", IEEE Transactions on Industry
Applications, vol.36, N6, November-December 2000.

[Li 94] LI J. and JUFER M.
"Modeling and dynamical simulation of switched reluctance motors including iron
losses", ICEM94, 11
th
International Conference on Electrical Machines, Vol.3,
pp.415-419, Paris 1994.

[Liwschitz 67] LIWSCHITZ M. et MARET L.
"Calcul des machines lectriques", Tome 1, Edition SPES Lausanne - Dunod Paris,
1967.

[Lucidarme 93] LUCIDARME J., AMOURI A. and POLOUJADOFF M.
"Optimum design of longitudinal field variable reluctance motors-Application to a
high performance actuator", IEEE Transactions on Energy Conversion, vol.8, N3,
pp. 375-361, 1993.

___________________________________________________________________________
179
Bibliographie
_____________________________________________________________________________________________________________________________________________________________________________________

[MacFee 88] MCFEE S., WEBB J.P. and LOWTHER D.A.
"A tunable volume integration formulation for force calculation", IEEE Transactions
on Magnetics, Vol.24, N1, January 1988.

[Mailfert 86] MAILFERT A.
"Machines rluctance variable", Techniques de lIngnieur, Trait Gnie
Electrique, D550, 1986.

[Marinescu 88] MARINESCU M. and MARINESCU N.
"Numerical computation of torques in permanent magnet motors by Maxwell stress
and energy method", IEEE Transactions on Magnetics, vol.24, N1,1988.

[Marroco 90] MARROCO A., HECHT F.
"A finite element simulation of an alternator connected to an non-linear external
circuit ", IEEE Transactions on Magnetics, vol.26, N.2, March 1990.

[Meunier 88] MEUNIER G., SHEN D., COULOMB J.L.
"Modelisation of 2D Axisymmetric magnetodynamic domain by the finite element
method", IEEE Transactions on magnetics, vol. 24, N1, January 1988.

[Meunier 81] MEUNIER G.
"Application de la mthode des lments finis la modlisation des micromoteurs",
Thse de Docteur-Ingnieur, Institut National Polytechnique de Grenoble, 1981.

[Miller 89] MILLER T.J.E.
"Brushless Permanent Magnet and Reluctance Motor Drives", Oxford Science
Publications, 1989.

[Minoux 83] MINOUX M.
"Programmation mathmatique, thorie et algorithmes", Tome 1, Edition Dunod,
Paris 1983.

[Mller 90] MLLER W.
"Comparison of different methods of force calculation", IEEE transactions on
Magnetics, vol.24, N2, 1990.

[Multon 94] MULTON B.
"Conception et alimentation lectronique des machines rluctance variable
double saillance", Thse de Docteur de Troisime Cycle, Ecole Normale
Suprieure de Cachan, 1994.

[Nathan 92] NATHAN I., JOAO P.A.B.
"Electromagnetics and calculation of fields", Springer-Verlag, New York, 1992.

___________________________________________________________________________
180
Bibliographie
_____________________________________________________________________________________________________________________________________________________________________________________
[Paroz 92] PAROZ C.
"Commande incrmentale frquence fixe et avance variable", 7
me
Colloque
International sur les Moteurs Pas Pas, pp. 95-102, Nancy 1992.

[Pillet 94] PILLET M.
"Introduction aux plans dexpriences par la mthode Taguchi", les Editions
dOrganisation Universit, Paris 1994.

[Ratnajeevan 88] RATNAJEEVAN S., HOOLE H., JAYKUMARAN S., RATNASUNEERAN N and
HOOLE G.
"Flux density and energy perturbations in adaptative finite element mesh
generation", IEEE Transactions on Magnetics, vol. 24, N1, January 1988.

[Reece 00] REECE A.B.J. and PRESTON T.W.
"Finite Element Method in Electrical Power Engineering" Edition Oxford University,
Press 2000.

[Roisse 98 ] ROISSE H.
"Contribution la modlisation des systmes lectrotechniques par la mthode des
rseaux de permances coupls. Application aux machines synchrones aimants
permanents", Thse de Doctorat, Universit de Sciences et Technologie de Lille,
1998.

[Sabonnadire 93] SABONNADIERE J.C.
"Conception Assiste par Ordinateur (CAO) en Gnie Electrique. Mthodes et
Techniques", Techniques de lIngnieur, Trait Gnie Electrique, D3585, pp. 1-20,
1993.

[Sadowski 93] SADOWSKI N.
"Modlisation des machines lectriques partir de la rsolution des quations du
champ et tenant compte du mouvement et du circuit dalimentation", Thse de
Doctorat, Toulouse, 1993.

[Sahraoui 93] SAHRAOUI H., BOUCHERIT M.S. et ZEBROWSKI L.
"Etude de linfluence du type dalimentation sur le comportement dynamique dun
moteur pas pas rluctance variable", Proceedings of the Maghrebin Conference
on Automatics and Industrial Electronics, pp. 258-267, Algeria 1993.

[Sauvey 00] SAUVEY C.
"Contribution mthodologique la modlisation pour le dimensionnement de
moteurs rluctance variable", Thse de Doctorat, Institut National Polytechnique
de Grenoble, 2000.



___________________________________________________________________________
181
Bibliographie
_____________________________________________________________________________________________________________________________________________________________________________________
[Schoorens 82] SCHOORENS H.
"Contribution ltude analytique approche des machines rluctance variable,
notamment de celles configuration axisymtrique. Application aux actionneurs
linaires". Thse de Docteur-s Sciences Physiques, Universit des Sciences et
Technologie de Lille, 1982.

[Schimmerling 98] SCHIMMERLING P., SISSON J.C., ZADI A.
"Pratique des plans dexpriences", Edition Technique & Documentation, Paris
1998.

[Seguier 96] SEGUIER G., NOTELET F.
"Electrotechnique industrielle", Edition Tech & Doc, Paris 1996.

[Sofiane 01] SOFIANE Y.
"Contribution ltude et la modlisation dun moteur rluctance variable
double saillance de type pas pas", Thse de Doctorat, Universit des Sciences et
Technologies de Lille, 2001.

[Spinnler 97] SPINNLER G.
"Conception des machines : principe et application", Tome 1, Edition Presses
Polytechniques et Universitaires Romandes, Lausanne 1997.

[Vivier 02] VIVIER S.
"Stratgies doptimisation par la mthode des plans dexpriences et application
aux dispositifs lectrotechniques modliss par lments finis", Thse de Doctorat,
Universit des Sciences et Technologies de Lille, 2002.

[Vivier 01 a] VIVIER S., GILLON F., HECQUET M. and BROCHET P.
"Optimization techniques derived from experimental design method and their
application to the design of brushless direct current motor" ; IEEE Transactions on
Magnetics, vol. 37, N5, September 2001.

[Vivier 01 b] VIVIER S., GILLON F., HECQUET M. and BROCHET P.
"A design optimization manager", 13
th
Compumag Conference on the Computation
of Electromagnetic Fields, Vol.2, pp.228-229, Evian 2001.

[Wang 98] WANG R. and GIERAS J.F.
"Analysis of characteristics of permanent magnet hybrid linear stepping motor",
ICEM98, 13
th
International Conference on Electrical Machines, vol. 2, pp.833-838,
Istanbul 1998.

[Wurtz 92] WURTZ F.
"Une nouvelle approche pour la conception sous contraintes de machines
lectriques", Thse de Doctorat, Institut National Polytechnique de Grenoble, 1992.

___________________________________________________________________________
182
Bibliographie
_____________________________________________________________________________________________________________________________________________________________________________________
[Yoshida 96] YOSHIDA K., TAKAMI H. and SHI L.
"Decoupled-Control of levitation and propulsion in underweter LM car ME02",
Electrimacs96, ELECTRIMACS96, 5
th
International Conference, modelling and
simulation of ELECTRIC Machines Converters and Systems, pp.203-209, Saint-
Nazaire 1996.
___________________________________________________________________________
183

Vous aimerez peut-être aussi