Académique Documents
Professionnel Documents
Culture Documents
Niveau :………………………………………
Groupe : ……………………………………..
N° de Place : ………………………………
Directives :
BONNE CHANCE
Réservé à l’administration :
1- Dans un PROCESS, quelle est la différence entre une affectation à une variable et à un
signal.
Dans un PROCESS, l’affectation d’un état logique à une variable est immédiate.
Pour un signal, l’affectation sa se fait après le déroulement du PROCESS.
L’instruction ASSERT est une Instruction de débogage. Elle est très utile pour
vérifier les violations du timing à l’aide de l’option SEVERITY qui permet de
définir le niveau d'importance de l'alerte.
Exercice :
1- Donner la description VHDL d’une bascule D avec les entrées D, H et les sortie Q et
Qb (complément de Q). Ce tableau présente le fonctionnement de cette bascule :
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity d_bascule1 is
port(
clk: in std_logic;
D: in std_logic;
Q: out std_logic;
Qb: out std_logic);
end entity;
2- Soit une simple bascule D dont la sortie inverseuse est envoyée sur son entrée D. Ainsi,
à chaque front montant de l'entrée d'horloge, la donnée transférée sur la sortie est
complémentée. La fréquence du signal est donc divisée par deux :
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity d_bascule is
port(
clk: in std_logic;
s: out std_logic;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity CM8 is
port (clk: in std_logic;
q: out std_logic_vector (2 downto 0);
reset: in std_logic);
end;