Vous êtes sur la page 1sur 13

Programmation d’une FPGA (CYCLONE IV) à l’aide

du logiciel QUARTUS
1. Synthèse
Ce tutoriel vous montrera comment créer un simple projet QUARTUS basé sur la
FPGA CYCLONE IV. Un simple programme sera implémenté sur la carte, on utilisera les
LED de la carte comme sorties. Il y a trois parties dans cette manip. La partie 1 montre les
bases de la création d’un projet dans QUARTUS. La partie 2 montre comment affecter les
sorties aux PIN de la carte. La partie 3 montre comment programmer la FPGA.

2. Création d’un projet


Tout d’abord vous créez un dossier dans le disque C que vous nommez VHDL et dans
ce dossier vous créez un nouveau dossier que vous nommez TP

Ouvrer le logiciel QUARTUS en Cliquant sur Quartus (Quartus Prime 20.1) Lite
Edition

Sélectionner File> New Project Wizard


Ensuite vous cliquez sur next

Sélectionnez l’emplacement (C>VHDL>TP1) .


Ensuite vous nommez votre projet en TP1 (ce nom TP1 doit être le nom de l’entité de
votre programme). Ensuite vous cliquez sur next.

Vous cliquez ensuite sur next.


Vous cliquez ensuite sur next.

Choisir le type de votre FPGA vous sélectionnez :

Family : CYCLONE IV E

Available devices : EP4CE622C8


Ensuite vous cliquez sur Next :

Vous cliquez ensuite sur next :

Ensuite vous cliquez sur Finish :


Votre projet est créé, vous créez un fichier VHDL en cliquant sur File > NEW….

Afin de créer un fichier VHDL vous cliquez sur VHDL FILE ensuite OK.
Maintenant vous avez créé votre fichier VHDL vous devez tapez votre programme ici

Pour le programme on va commencer avec un programme très simple une LED allumée,
la LED est reliée à la FPGA et VCC :

library ieee ;

use ieee.std_logic_1164.all ;

entity TP1 is

port ( LED: out std_logic);

end TP1;

architecture archTP1 of TP1 is

begin

LED<='0';

end archTP1;

Vous devez copier votre programme comme :


Ensuite vous compilez votre programme en appuyant sur le bouton triangle ou sur
(CTRL+L):

Ensuite cliquez sur yes :

Et enregistrer votre fichier en cliquant sur enregistrer le nom de votre fichier doit
être le même nom que le nom de l’entité
Votre programme est compilé avec succès

3. Affectation des PIN


Pour affecter les pins (pin de la LED) vous cliquez sur : assignements> PIN Planner
Une fenêtre va s’ouvrir vous affectez la pin 87 a la LED :

Ensuite vous recompilez une autre fois en cliquant sur le bouton triangle ou sur
(CTRL+L) :
4. Programmation et programmation de la FPGA
Pour programmer votre FPGA vous cliquez sur : tools>programmer :

Ensuite vous envoyez votre programme en appuyant sur start :


Votre FPGA est programmé si tout est bien passé la LED doit s’allumer

5. Travail à préparer
1. Réaliser un programme qui permet d’allumer 4 LED à l’aide d’un interrupteur
(si l’interrupteur=1 LED allumé, si l’interrupteur =0 la LED éteinte)
2. Réaliser un programme d’un décodeur 2 vers 4 qui satisfait la table de vérité
suivante :

entrée sortie

X Y

00 0001

01 0010

10 0100

11 1000

3. Réaliser un programme de clignotement de 4 LEDs. 1 seconde allumé une


seconde éteinte
4. Réaliser un programme d’un compteur 4 bits avec un interrupteur RESET
5. Réaliser un multiplexeur 4 vers un
6. Réaliser un programme d’un compteur décompteur avec un interrupteur
UP_DOWN et un autre RESET
6. Allocation des pins

RESET button 25 Digital tube Pin number


FPGA_CLK 23 DIG1 133
DIG2 135
Keys Pin number DIG3 136
KEY1 88 DIG4 137
KEY2 89 SEG0 128
KEY3 90 SEG1 121
KEY4 91 SEG2 125
SEG3 129
Buzzer Pin number SEG4 132
beep 110 SEG5 126
SEG6 124
LED Pin number SEG7 127
led1 87
led2 86
led3 85
led4 84

Vous aimerez peut-être aussi