Simulation Vhdl
Pascal BENOIT
Contact:
Pascal.Benoit@univ-montp2.fr
Organisation de l’enseignement du VHDL
Cours magistral (1 séance de 1h30)
◦ Introduction
Cours / TD ( 7 séances de 1h30)
◦ Conception de composants simples
◦ Simulation
TP (5 séances de 3h)
◦ Conception de composants complexes
◦ Synthèse sur cible FPGA
MINI-PROJET (7 séances de 4h)
◦ P2: Circuit intégré sur cible Silicium
◦ P9: Système embarqué sur cible FPGA
Pascal.Benoit@univ-montp2.fr 6
Objectif du cours aujourd’hui
Comprendre
◦ la finalité
◦ la différence entre Fabrication/Conception
◦ ce qu’est un flot de conception
◦ le rôle des HDL
◦ les différences entre l’approche ASIC et FPGA
◦ la méthode et les outils de travail
Pascal.Benoit@univ-montp2.fr 7
La finalité?
Pascal.Benoit@polytech.univ-montp2.fr 8
La finalité?
Carte PC
Carte FPGA embarqué
Ordinateur de bord
Carte DSP
Un exemple: iPhone 3G
Pascal.Benoit@univ-montp2.fr 10
Qu’ont-ils en commun?
Microprocesseurs
Interfaces USB,
Ethernet, SPI, …
Processeurs spécialisés
(Télécom, CoDec, etc.)
Hardware Description Language
DSP
HDL
Langage de description matériel
FPGA
Périphériques
Pascal.Benoit@polytech.univ-montp2.fr 11
Les « Hardware Description Languages »
VHDL
HDL Verilog
SystemC
Modélisation Synthèse
Du circuit au Du langage au
langage circuit
Pascal.Benoit@polytech.univ-montp2.fr 12
HDL, des langages pour…
HDL
Pascal.Benoit@univ-montp2.fr 13
HDL, des langages pour…
HDL
Quoi ?
Pourquoi ?
Comment ?
Pascal.Benoit@univ-montp2.fr 14
Concevoir des circuits intégrés
Microprocesseurs
Interfaces USB,
Ethernet, SPI, …
Processeurs spécialisés
(Télécom, CoDec, etc.)
DSP
FPGA
Périphériques
Pascal.Benoit@polytech.univ-montp2.fr 15
Concevoir des circuits intégrés
Zoom sur le cœur des circuits intégrés…
Pascal.Benoit@polytech.univ-montp2.fr 16
Concevoir des circuits intégrés
Zoom sur le cœur des circuits intégrés…
Pascal.Benoit@polytech.univ-montp2.fr 17
Processus de fabrication
Découpage du Wafer
Photolithographie
Découpage des CI
Encapsulation
Bonding
Pascal.Benoit@polytech.univ-montp2.fr 18
Processus de fabrication
Processeur
Multimédia Processus de
… Conception
F = 500 MHz
P = 1 Watt
… Ingénieurs
Processus de Test de
Fabrication production
Circuit
Pascal.Benoit@polytech.univ-montp2.fr 20
Evolution des méthodes de Conception
Intel 4004 10um (10000nm) Intel Penryn 45nm
37 ans plus
tard…
1971 2008
Pascal.Benoit@polytech.univ-montp2.fr 21
Evolution des méthodes de Conception
Intel 4004 10um (10000nm) Intel Core i7 45nm
38 ans plus
tard…
731millions de transistors!!!
2300 transistors
1971 2009
Pascal.Benoit@polytech.univ-montp2.fr 22
Evolution des méthodes de Conception
Intel 4004 10um (10000nm) Intel Core i7 32nm
39 ans plus
tard…
Dessin des masques Conception Assistée
« à la main » par Ordinateur
1971 2010
Pascal.Benoit@polytech.univ-montp2.fr 23
Loi de Moore et conséquences…
Petit calcul…
Réponse
ABSTRACTION AUTOMATISATION
Pascal.Benoit@univ-montp2.fr 24
Exemple: registre 16 bits
library ieee ;!
use ieee.std_logic_1164.all;!
entity dff isport(data_in:in std_logic_vector(15 downto 0);!
clock:in std_logic;!
data_out:out std_logic_vector(15 downto 0));!
end dff;!
architecture behv of dff is!
begin !
process(data_in, clock) !
begin !
if (clock='1' and clock'event) then !
data_out <= data_in;!
end if; !
end process;!
end behv;!
15 lignes de VHDL
∼ 96 portes logiques
∼ 768 segments
iPod
Téléphones Mobiles
Gameboy
Pascal.Benoit@univ-montp2.fr 27
Flot de Conception Silicium
Cahier des charges /
Spécifications du circuit Outil de Description
Simulation Comportementale
OK
Processeur
Multimédia
… Synthèse
F = 500 MHz Logique
P = 1 Watt
…
Technologique
Bibliothèque
Outil de
Simulation Description Structurelle
OK
Synthèse
Physique
Outils de
CAO Fondeur
Outil de
Simulation Description Physique
Niveau
d’abstraction du
OK
circuit
Pascal.Benoit@polytech.univ-montp2.fr 28
HDL, des langages pour…
HDL
Pascal.Benoit@univ-montp2.fr 29
HDL, des langages pour…
HDL
Quoi ?
Pourquoi ?
Comment ?
Pascal.Benoit@univ-montp2.fr 30
Composants logiques (re-)programmables
FPGA
◦ FPGA : de l’ordre de 1000M de transistors
◦ Pour les plus complexes, > 3G transistors…
Pascal.Benoit@univ-montp2.fr 31
Architecture des FPGA
Pascal.Benoit@univ-montp2.fr 32
Architecture des FPGA
Architecture Xilinx
◦ Élément de base : Logic Cell
◦ Slice = 2 Logic Cell
◦ Configurable Logic Bloc
CLB = 2 ou 4 Slices
Logic Cell 33
Architecture des FPGA
LUT ⇒ Look-Up Table
◦ Une mémoire de taille 2n peut implanter n’importe quelle
fonction d’au plus n variables
a b c y
0 0 0 1
0 0 1 0
0 1 0 1
0 1 1 1
…
y= abc + abc + abc + …
34
Architecture des FPGA
35
Flot de Conception FPGA
Cahier des charges /
Spécifications du composant Outil de Description
Simulation Comportementale
OK
Processeur
Multimédia
… Synthèse
F = 500 MHz Logique
P = 1 Watt
…
Technologique
Bibliothèque
Outil de
Simulation Description Structurelle
OK
Synthèse
Physique
Outils de
CAO Programmation
du FPGA
Outil de
Simulation Description Physique
Niveau
d’abstraction du
OK
composant
Pascal.Benoit@polytech.univ-montp2.fr 36
HDL, des langages pour…
HDL
Pascal.Benoit@univ-montp2.fr 37
Flot de conception
Description
Comportementale
Processeur
Multimédia
… Synthèse
F = 500 MHz Logique
P = 1 Watt
…
Description Structurelle
Synthèse
Physique
Outils de
CAO
Description Physique
Niveau
d’abstraction du
circuit
Pascal.Benoit@univ-montp2.fr 38
Flot de conception
Outil de Description
Simulation Comportementale
OK
Processeur
Multimédia
… Synthèse
F = 500 MHz Logique
P = 1 Watt
…
Outil de
Simulation Description Structurelle
OK
Synthèse
Physique
Outils de
CAO
Outil de
Simulation Description Physique
Niveau
d’abstraction du
OK
circuit
Pascal.Benoit@univ-montp2.fr 39
Flot de Conception Silicium
Cahier des charges /
Spécifications du circuit Outil de Description
Simulation Comportementale
OK
Processeur
Multimédia
… Synthèse
F = 500 MHz Logique
P = 1 Watt
…
Technologique
Bibliothèque
Outil de
Simulation Description Structurelle
OK
Synthèse
Physique
Outils de
CAO
Fondeur
Outil de
Simulation Description Physique
Niveau
d’abstraction du
OK
circuit
Pascal.Benoit@polytech.univ-montp2.fr 40
Flot de Conception Silicium
Technologique
Bibliothèque
Outil de
Simulation Description Structurelle
OK
Synthèse
Physique
Outils de
CAO Programmation
du FPGA
Outil de
Simulation Description Physique
Niveau
d’abstraction du
OK
composant
Pascal.Benoit@polytech.univ-montp2.fr 42
Flot de Conception FPGA
Les fabricants de FPGA fournissent leurs propres outils CAO…
Flot de Conception générique
Cahier des charges /
Spécifications du composant Outil de Description
Simulation Comportementale
OK
Processeur
Multimédia
… Synthèse
F = 500 MHz Logique
P = 1 Watt
…
Technologique
Bibliothèque
Outil de
Simulation Description Structurelle
OK
Cibles
Synthèse
Physique Matérielles
Outils de
CAO
Outil de
Simulation Description Physique
Niveau
d’abstraction du
OK
composant
Pascal.Benoit@polytech.univ-montp2.fr 44
Cibles matérielles
Cibles
matérielles
Logic
Standard
ASIC
Logic
Gate Cell-Based Full Custom
PLD
Arrays IC IC
SPLD
CPLD FPGA
(PLA)
Logic
Standard
ASIC
Logic
Gate Cell-Based Full Custom
PLD
Arrays IC IC
SPLD
CPLD FPGA
(PLA)
HDL
Modélisation Synthèse
Du circuit au Du langage au
langage circuit
Pascal.Benoit@polytech.univ-montp2.fr 47
Histoire des HDL
1977
◦ ISP (Instruction Set Processor)
Carnegie Mellon University
◦ KARL
University of Kaiserslautern
1980
◦ ABL
Descendant de KARL, interface graphique
1983
◦ ABEL
Composants logiques programmables
Machines à Etats Finis
1985
◦ Verilog
Gateway Design Automation (racheté par Cadence)
1987
◦ VHDL
Département de la défense USA
Jusque là, HDL utilisés « bottom-up » Modélisation
Progressivement, « top-down » Synthèse
Pascal.Benoit@univ-montp2.fr 48
Les « Hardware Description Languages »
HDL
Modélisation
Du circuit au
langage
Pascal.Benoit@polytech.univ-montp2.fr 49
Histoire des HDL
1990 - 2000
◦ Verilog & VHDL standards IEEE
◦ Quelques évolutions
2000
◦ SystemC
Niveau « système »
Classes & macros C++
2002
◦ SystemVerilog
Extension « système » de Verilog
Pascal.Benoit@univ-montp2.fr 50
Les « Hardware Description Languages »
VHDL
HDL Verilog
SystemC
SystemVerilog
…
Modélisation Synthèse
Du circuit au Du langage au
langage circuit
Pascal.Benoit@polytech.univ-montp2.fr 51
VHDL ou Verilog?
VHDL
Verilog
Pascal.Benoit@univ-montp2.fr 52
Conception & Simulation VHDL
CONCEPTION
VHSIC – HDL: Very High Speed Integrated Circuits HDL
Synthèses
SIMULATION
Pascal.Benoit@polytech.univ-montp2.fr 53
Principes généraux
Programmation « classique » (exemple: le langage C)
◦ Consiste à décrire une succession d’instructions qui se déroulent dans un ordre
préétabli
Matériel ou Circuits intégrés
◦ Par essence, parallèle: tous les composants sont actifs en même temps
(V)HDL
◦ Sert à décrire et simuler du matériel
◦ Comporte des aspects parallèles (structure du circuit) et des aspects séquentiels
(processus qui décrivent les comportements des blocs)
Testbench
◦ C’est un programme de « test », qui doit servir à appliquer des stimuli en entrée du circuit,
permettre d’observer les signaux de sorties, et ainsi vérifier la fonctionnalité du circuit simulé
Simulation
◦ Émulation du matériel à parallélisme
Pascal.Benoit@univ-montp2.fr 54
Interro!
Qu’est-ce qu’un circuit intégré numérique?
Comment est conçu un CIN?
Comment est fabriqué un CIN?
Qu’est-ce qu’un FPGA?
Comment est « programmé » un FPGA?
Quel est l’intérêt des langages HDL?
Quelle est la différence majeure entre un langage
« type C » et un langage HDL?
Qu’est-ce qu’un testbench?
A quoi sert la simulation?
Pascal.Benoit@univ-montp2.fr 55
(V)HDL: langage(s) et métiers…
Ingénieur Systèmes Embarqués
◦ Spécifications, modélisation système
…
Pascal.Benoit@univ-montp2.fr 57
Algorithme de l’étudiant modèle
Spécifications du code VHDL du
composant à concevoir
composant à concevoir
Problème / Cahier des Spécifications du tesbench
charges
… Compilation
Réfléchir! Erreurs?
code VHDL du
testbench
Compilation
Elaboration
Erreurs? Erreurs?
(composant + testbench)
Simulation
Erreurs?
Pascal.Benoit@univ-montp2.fr 58
Mise en pratique!
Composant code VHDL du
2 entrées sur 1bit composant à concevoir
1 sortie sur 1 bit
Cahier des charges Fonction: ET logique
Concevoir un circuit dont
la sortie est le résultat
d’un ET logique des 2
Testbench
entrées sur 1 bit
Réfléchir! Appliquer sur les 2
entrées toutes les
combinaisons possibles
« 00 », « 01 », « 10 »,
« 11 »
Pascal.Benoit@univ-montp2.fr 59
Code VHDL du circuit à concevoir
--Bibliothèques
library ieee;
use ieee.std_logic_1164.all
--Entité
entity circuit is
port ( a,b: in std_logic;
s: out std_logic
);
end circuit ;
--Architecture
architecture archi of circuit is
begin
s <= a and b;
end;
Pascal.Benoit@polytech.univ-montp2.fr 60
Code VHDL du circuit à concevoir
--Bibliothèques
library ieee; COMMENTAIRES
use ieee.std_logic_1164.all Les commentaires doivent être
inclus dans le code, pour
--Entité augmenter la lisibilité et la
entity circuit is documentation.
port ( a,b: in std_logic; Ils commencent par 2 tirets (--) et
s: out std_logic se terminent en fin de ligne
);
end circuit ;
--Architecture
architecture archi of circuit is
begin
s <= a and b;
end;
Pascal.Benoit@polytech.univ-montp2.fr 61
Code VHDL du circuit à concevoir
--Bibliothèques
library ieee;
Entity
use ieee.std_logic_1164.all
C’est une « boîte noire » ou encore
l’interface d’un module matériel.
--Entité
Elle précise:
entity circuit is
- Le nom du circuit
port ( a,b: in std_logic;
- Les ports d’entrées/sorties (nom,
s: out std_logic
direction, type)
);
- Les paramètres éventuels pour les
end circuit;
modèles génériques
--Architecture
architecture archi of circuit is
begin
s <= a and b;
end;
Pascal.Benoit@polytech.univ-montp2.fr 62
Code VHDL du circuit à concevoir
--Bibliothèques
library ieee;
in
use ieee.std_logic_1164.all
a et b sont des entrées
Leur type est std logic
--Entité
entity circuit is
port ( a,b: in std_logic;
s: out std_logic
);
end circuit;
--Architecture
architecture archi of circuit is
begin
s <= a and b;
end;
Pascal.Benoit@polytech.univ-montp2.fr 63
Code VHDL du circuit à concevoir
--Bibliothèques
library ieee;
out
use ieee.std_logic_1164.all
s est une sortie de type std logic
--Entité
entity circuit is
port ( a,b: in std_logic;
s: out std_logic
);
end circuit;
--Architecture
architecture archi of circuit is
begin
s <= a and b;
end;
Pascal.Benoit@polytech.univ-montp2.fr 64
Code VHDL du circuit à concevoir
--Bibliothèques
library ieee;
use ieee.std_logic_1164.all
--Entité
entity circuit is
port ( a,b: in std_logic;
s: out std_logic
); a
end circuit; s
circuit
--Architecture b
architecture archi of circuit is
begin
s <= a and b;
end;
Pascal.Benoit@polytech.univ-montp2.fr 65
Code VHDL du circuit à concevoir
--Bibliothèques
library ieee;
use ieee.std_logic_1164.all
--Entité
entity circuit is
port ( a,b: in std_logic;
s: out std_logic architecture <nom_architecture> of
); <nom_entité> is
end circuit; C’est la description interne du circuit.
Elle est toujours associée à une entité.
--Architecture
architecture archi of circuit is
begin
s <= a and b;
end;
Pascal.Benoit@polytech.univ-montp2.fr 66
Code VHDL du circuit à concevoir
--Bibliothèques
library ieee;
use ieee.std_logic_1164.all
--Entité
entity circuit is
port ( a,b: in std_logic;
s: out std_logic « s prend la valeur du résultat de
); l’expression logique a ET b »
end circuit;
--Architecture
architecture archi of circuit is
begin
s <= a and b;
end;
Pascal.Benoit@polytech.univ-montp2.fr 67
Code VHDL du circuit à concevoir
--Bibliothèques
library ieee;
use ieee.std_logic_1164.all
--Entité
entity circuit is
port ( a,b: in std_logic;
s: out std_logic circuit
); a
end circuit; s
--Architecture b
architecture archi of circuit is
begin
s <= a and b;
end;
Pascal.Benoit@polytech.univ-montp2.fr 68
Mise en pratique!
Composant code VHDL du
2 entrées sur 1 composant à concevoir
1 sortie sur 1 bit
Cahier des charges Fonction: ET logique
Concevoir un circuit dont
la sortie est le résultat Compilation
d’un ET logique des 2
Testbench
entrées sur 1 bit
Réfléchir! Appliquer sur les 2
Erreurs?
entrées toutes les
combinaisons possibles
« 00 », « 01 », « 10 »,
« 11 »
code VHDL du
testbench
Pascal.Benoit@univ-montp2.fr 69
Code VHDL du testbench
-- simulation du modèle and2
library ieee; entity tb_circuit
use ieee.std_logic_1164.all;
entity tb_circuit is
end tb_circuit; circuit
architecture archi of tb_circuit is
signal entree1, entree2, sortie: std_logic; a
component circuit s
port (a,b:in std_logic; s: out std_logic); b
end component;
begin
top: circuit
port map( a=> entree1, b=> entree2,
s=>sortie);
stimulis: process
begin
entree1<='0'; entree2<='0';
wait for 30 ns; entree1<='1';
wait for 30 ns; entree2<='1';
wait for 30 ns; entree1<='0'; entree2 <='0';
wait for 30 ns;
end process;
end;
Pascal.Benoit@polytech.univ-montp2.fr 70
Code VHDL du testbench
-- simulation du modèle and2
library ieee; entity tb_circuit
use ieee.std_logic_1164.all;
entity tb_circuit is
end tb_circuit; circuit
architecture archi of tb_circuit is
entree1
signal entree1, entree2, sortie: std_logic; a sortie
component circuit s
port (a,b:in std_logic; s: out std_logic); b
end component;
entree2
begin
top: circuit
port map( a=> entree1, b=> entree2,
s=>sortie);
stimulis: process
begin
entree1<='0'; entree2<='0';
wait for 30 ns; entree1<='1';
wait for 30 ns; entree2<='1';
wait for 30 ns; entree1<='0'; entree2 <='0';
wait for 30 ns;
end process;
end;
Pascal.Benoit@polytech.univ-montp2.fr 71
Code VHDL du testbench
-- simulation du modèle and2
library ieee; entity tb_circuit
use ieee.std_logic_1164.all;
entity tb_circuit is
end tb_circuit; circuit
architecture archi of tb_circuit is
entree1
signal entree1, entree2, sortie: std_logic; a sortie
component circuit stimulis s
port (a,b:in std_logic; s: out std_logic); b
end component;
entree2
begin
top: circuit
port map( a=> entree1, b=> entree2,
s=>sortie);
stimulis: process
begin
entree1<='0'; entree2<='0';
wait for 30 ns; entree1<='1';
wait for 30 ns; entree2<='1';
wait for 30 ns; entree1<='0'; entree2 <='0';
wait for 30 ns;
end process;
end;
Pascal.Benoit@polytech.univ-montp2.fr 72
Mise en pratique!
Composant code VHDL du
2 entrées sur 1 composant à concevoir
1 sortie sur 1 bit
Cahier des charges Fonction: ET logique
Concevoir un circuit dont
la sortie est le résultat Compilation
d’un ET logique des 2
Testbench
entrées sur 1 bit
Réfléchir! Appliquer sur les 2
Erreurs?
entrées toutes les
combinaisons possibles
« 00 », « 01 », « 10 »,
« 11 »
code VHDL du
testbench
Compilation
Elaboration
Erreurs? Erreurs?
(composant + testbench)
Simulation
Pascal.Benoit@univ-montp2.fr 73
Simulation
stimulis: process
begin
entree1<='0'; entree2<='0';
wait for 30 ns;
entree1<='1';
wait for 30 ns;
entree2<='1';
wait for 30 ns;
entree1<='0'; entree2 <='0';
wait for 30 ns;
end process;
Pascal.Benoit@univ-montp2.fr 74
Simulation
stimulis: process
begin
entree1<='0'; entree2<='0';
wait for 30 ns;
entree1<='1';
wait for 30 ns;
entree2<='1';
wait for 30 ns;
entree1<='0'; entree2 <='0';
wait for 30 ns;
end process;
Pascal.Benoit@univ-montp2.fr 75
Simulation
stimulis: process
begin
entree1<='0'; entree2<='0';
wait for 30 ns;
entree1<='1';
wait for 30 ns;
entree2<='1';
wait for 30 ns;
entree1<='0'; entree2 <='0';
wait for 30 ns;
end process;
Pascal.Benoit@univ-montp2.fr 76
Simulation
stimulis: process
begin
entree1<='0'; entree2<='0';
wait for 30 ns;
entree1<='1';
wait for 30 ns;
entree2<='1';
wait for 30 ns;
entree1<='0'; entree2 <='0';
wait for 30 ns;
end process;
Pascal.Benoit@univ-montp2.fr 77
Simulation
stimulis: process
begin
entree1<='0'; entree2<='0';
wait for 30 ns;
entree1<='1';
wait for 30 ns;
entree2<='1';
wait for 30 ns;
entree1<='0'; entree2 <='0';
wait for 30 ns;
end process;
Pascal.Benoit@univ-montp2.fr 78
Mise en pratique!
Composant code VHDL du
2 entrées sur 1 composant à concevoir
1 sortie sur 1 bit
Cahier des charges Fonction: ET logique
Concevoir un circuit dont
la sortie est le résultat Compilation
d’un ET logique des 2
Testbench
entrées sur 1 bit
Réfléchir! Appliquer sur les 2
Erreurs?
entrées toutes les
combinaisons possibles
« 00 », « 01 », « 10 »,
« 11 »
code VHDL du
testbench
Compilation
Elaboration
Erreurs? Erreurs?
(composant + testbench)
Simulation
Erreurs?
Pascal.Benoit@univ-montp2.fr 79
Organisation de l’enseignement du VHDL
Cours magistral (1 séance de 1h30)
◦ Introduction
Cours / TD ( 7 séances de 1h30)
◦ Conception de composants simples
◦ Simulation
TP (5 séances de 3h)
◦ Conception de composants complexes
◦ Synthèse sur cible FPGA
MINI-PROJET (7 séances de 4h)
◦ P2: Circuit intégré sur cible Silicium
◦ P9: Système embarqué sur cible FPGA
Pascal.Benoit@univ-montp2.fr 80
Outils de travail
Fascicule de Cours/TD
Simulateur HDL
Site web
Cartes FPGA
Outils CAO
Liens Internet
Livres
Pascal.Benoit@univ-montp2.fr 81
Flot de conception FPGA (TP & Projet P9)
Xilinx ISE
- Edition
- Simulation
- Synthèse
- Placement / Routage
- Programmation du bitstream
-…
http://www.xilinx.com/tools/webpack.htm
Pascal.Benoit@univ-montp2.fr 82
Carte FPGA (TD/TP & Maison J)
http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,451&Prod=NEXYS2
Pascal.Benoit@univ-montp2.fr 83
Simulateur HDL
ModelSim (en TD/TP)
le simulateur le plus utilisé dans le milieu industriel et académique
http://www.model.com/resources/student_edition/download.asp
Pascal.Benoit@univ-montp2.fr 84
Carte FPGA (Projet P9)
Carte Digilent Spartan 3E Starter Kit
Pascal.Benoit@univ-montp2.fr 85
Flot de conception Silicium (Projet P2)
Pascal.Benoit@univ-montp2.fr 86
Flot de conception Silicium (Projet P2)
Additionneur
(b)
(a)
Méthodologie suivie
- Lecture et analyse des fichiers VHDL
- Synthèse générique (a)
- Synthèse spécifique sur technologie AMS 0.35µ (b)
- Extraction de métriques (S, f, P)
Simulation Post-Synthèse
Flot de conception Silicium (Projet P2)
Routage
Floorplanning
- Interconnexion des
- Insertion des I/O Pads cellules, I/O, arbre
- Géométrie d’horloge
- Rails d’alimentation - Extraction RC
Placement des cellules
- Portes du circuit
- Arbre d’horloge
Simulation Post-P&R