Vous êtes sur la page 1sur 72

Initialisation à la simulation de circuits intégrés analogiques et numériques S.

Feruglio – 2010/2011

Sommaire :
Introduction .............................................................................................................p 4
1 - Simulation électrique sous PSPICE .................................................................p 6
1.1 – Notions de base et rappels ....................................................................p 8
1.2 – PSPICE sous Windows et DOS ...........................................................p 10
1.2.i. Syntaxe du fichier d’entrée (*.CIR) ............................................................... p 10
1.2.ii. Facteurs d’échelle......................................................................................... p 13
1.2.iii. Eléments électroniques de base .................................................................. p 13
1.3 – Simulations sous PSPICE ....................................................................p 33
1.3.i. Simulation en régime continu ........................................................................ p 33
1.3.ii. Simulation dans le domaine fréquentiel (ou harmonique) ........................... p 36
1.3.iii. Simulation dans le domaine temporelle ...................................................... p 37
1.3.iv. Simulations complémentaires ...................................................................... p 39
1.3.v. Analyses avancées ........................................................................................ p 43
1.3.vi. La directive de simulation .OPTION : ....................................................... p 43
1.4 – Le module graphique PROBE ..............................................................p 45
2 – Simulation sous Orcad 9.1 ................................................................................p 46
2.1 - Principe de fonctionnement de PSPICE sous Windows .......................p 47
2.2 – Ouverture d’un projet sous Design Manager .......................................p 48
2.3 – Présentation du menu principal de Schematics ....................................p 50
2.4– Saisie du schéma à simuler ...................................................................p 52
2-4.i. Les composants électroniques de bases ......................................................... p 53
2-4.ii. Les sources ................................................................................................... p 55
INITIALISATION A LA SIMULATION DE CIRCUITS 2.5 – Edition des composants ........................................................................p 59
2.6 – Structures hiérarchiques et sous-circuits ..............................................p 61
ELECTRONIQUES 2.7 – Simuler et observer les résultats ...........................................................p 62
2.7.i. Simuler .......................................................................................................... p 62
2.7.ii. Observer les résultats de simulation ............................................................. p 67
2.8 – Simuler directement à partir d’un fichier .CIR ....................................p 71
3 – Les fonctions avancées ......................................................................................p 73
SUPPORT DE COURS 3.1. Création d’une macro-fonction ..............................................................p 73
___________________________________________________________________________ 3.2. Les « Goal functions » ...........................................................................p 75
3.3. Analyse des performances ......................................................................p 79
3.4. Fichiers de commande PROBE ..............................................................p 80
3.5. Modifier le symbole d’un composant ....................................................p 81
3.6. Importer des modèles extérieurs ............................................................p 83
3.6.i. Ajout du modèle de la diode 1N757 .............................................................. p 85
3.6.ii. Ajout du modèle de l’AOP TL081 ................................................................ p 86
3.7. La macromodélisation ............................................................................p 89
4 – Quelques exemples ............................................................................................p 101
4.1. – Pont résistif .........................................................................................p 101
4.2. – Circuit RC en régime transitoire .........................................................p 104
4.3. – Circuit RC en régime sinusoïdal .........................................................p 110
4.4. – Analyse paramétrique d’un circuit RLC .............................................p 113
4.5. – Simulation de composants actifs .........................................................p 118
4.6. – Caractéristiques de composants ..........................................................p 120
4.6.i. Diode Zener .................................................................................................. p 120
4.6.ii. Transistor à effet de champ .......................................................................... p 120
4.7. – Calcul du bruit généré par des composants .........................................p 124
4.8. – Simulation de composants numériques ...............................................p 125
2010/11 4.9. – Simulations statistique Monté-Carlo et Worst-case ............................p 127
sylvain.feruglio@upmc.fr 5 – Capture ..............................................................................................................p 131

2
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

5.1. – Création d’un nouveau projet ..............................................................p 131 Introduction :


5.2. – Travail à partir d’un projet existant .....................................................p 132
5.3. – Barre d’icône .......................................................................................p 132
5.3.i. Icônes de gestion de projet ............................................................................ p 132
Afin de faciliter la conception de systèmes électroniques performants toujours plus
5.3.ii. Icônes de placement des composants ........................................................... p 133
5.4. – Gestion de projets ................................................................................p 133 complexes dans des temps toujours plus courts, de nombreux outils de CAO (Conception Assistée
5.5. – Saisir un schéma ..................................................................................p 134 par Ordinateur) ont vu le jour ces 20 dernières années. Ils permettent en autre la synthèse
5.5.i. Généralités .................................................................................................... p 134 automatique de circuits et de systèmes, le dessin (cartes, ASIC, …), la vérification des règles, la
5.5.ii. Placement des composants ........................................................................... p 135 testabilité. L’avantage premier de la CAO est qu’elle permet d’éviter la phase de prototypage, ce
5.5.iii. Modifications des propriétés des composants ............................................. p 136 qui permet en autre une diminution du cout de fabrication.
5.5.iv. Placement des liaisons ................................................................................ p 137
5.5.v. Alimentation ................................................................................................. p 137
5.5.vi. Impression ................................................................................................... p 138
5.6. – Projets structurés .................................................................................p 139
5.7. – Schéma type ........................................................................................p 139
5.8. – Profil de simulation .............................................................................p 140
5.9. – Lancement de la simulation ................................................................p 141
5.9.i. Analyse paramétrique ................................................................................... p 142
6 – Bibliographie .....................................................................................................p 143
Figure 0 : Principales étapes de la réalisation d’un système électronique en industrie

La simulation est la première étape dans la conception d’un circuit ou d’un système électronique.
C’est suivant le domaine d’application du circuit à réaliser que l’on choisit le simulateur le plus
adapté :
 Analogique : PSPICE, ELDO, SAUBER, …
 Numérique (VHDL, VERILOG, SYSTEMC) : ALDEC, SystemCASS, …
 Mixte (VHDL-AMS, VerilogA) : ADV-MS, Simporer, Smash, …
 Hyperfréquence : MDS, ADS, …

Ces simulateurs peuvent se diviser en trois principales catégories suivant le mode de description
employé pour définir le système :

 Comportemental : Niveau système


o VHDL (Logique)
o VHDL-AMS, VerilogA (Logique / Analogique)
o Matlab/Simulink (Logique / Analogique)

 Logique : Circuits logiques (Numériques)
o Modèles structurels (VHDL, SystemC, …)
o Niveaux (0, 1, Z, …)

 Electrique : Circuits analogiques1
o Modèles structurels
o Niveaux : Continuum de V et I  Logiciel de type SPICE

1
La simulation de circuits numériques est aussi généralement permise à ce niveau.

3 4
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

1 - Simulation électrique sous PSPICE : Destiné, à l’origine pour l’analyse des circuits électroniques en régime continu (DC),
alternatif (AC) et transitoire, la société MicroSim y ajoute ensuite le module graphique PROBE
pour donner PSPICE. Différents autres types d’analyses ont ensuite enrichi ce simulateur. Dans la
SPICE (Simulation Program with Integrated Circuit Emphasis) est un logiciel développé version que nous allons employée dans ce cours, on peut citer :
par l’université de Berkeley aux Etats Unis (http://www.eecs.berkeley.edu) dans les années 70 en
FORTRAN. C’est un simulateur électrique standard qui permet l'analyse statique et transitoire des  Analyse de courant continu : Circuits linéaires et non – linéaires
circuits non-linéaires et l'analyse alternative petit signal des circuits linéarisés. o Point de polarisation (I0, V0) ;
Le simulateur a été développé à l'université de Berkeley à partir des années 1967 et o Courbe de transfert (Vs/Ve) ;
jusqu'en 1990 : BIAS3 en 1967 pour l'analyse statique des transistors bipolaires puis CANCER o Caractéristique de dispositifs…
(Computer Analysis of Nonlinear Circuits, Excluding Radiation) en 1970, SPICE1 en 1973,  Analyse fréquentielle : Circuits linéaires
SPICE2 en 1975 et SPICE3 en 1980. Il est actuellement figé, et sa dernière version brute o Analyse de Fourier;
SPICE3F5 est distribuée librement sur le réseau internet. Depuis cette époque, si le cœur du o Diagramme de Bode (GdB, φ);
logiciel a peu changé, il n’en est pas de même de son environnement. En effet, sa source est
o Bruit ( I 2n (f ) , Vn2 (f ) )…
gratuite, ce qui a permis aux sociétés spécialisées dans le développement de logiciels de créer et de
diffuser différentes versions basées sur SPICE.  Analyse temporelle : Circuits linéaires et non – linéaires
Les circuits simulés par le simulateur SPICE peuvent contenir de nombreux éléments de o Oscilloscope ;
l’électronique : des résistances, des condensateurs, des inductances, des inductances mutuelles, des o Retards (∆t) ;
lignes de transmission, des lignes RC distribuées, des sources de tension et de courant o Distorsions – harmoniques de Fourier…
commandées et indépendantes, des interrupteurs, ainsi que des modèles de dispositifs actifs  Analyse statistique (Analyse Monte – Carlo, pire cas), température, sensibilité, …
comme des diodes, des transistors bipolaires, des transistors à effet de champ à jonction (JFET),
des transistors à arseniure de gallium (AsGa ou GaAs) à effet de champ métal-semiconducteur A l’heure actuelle, la société Cadence est le principal leader dans le marché des logiciels de
(MESFET) et des transistors à effet de champ métal-oxyde-semiconducteur (MOS). CAO pour les systèmes électroniques mixtes. Il a d’ailleurs racheter la société MicroSim, à travers
SPICE est donc avant tout un logiciel de simulation de composants et de circuits la société Orcad.
électroniques analogiques puis devenu mixte2 dans ces versions les plus élaborées. Les modèles
permettant la simulation des composants sont des modèles issus de la physique des composants et Ce simulateur électrique de deuxième génération est fonctionnellement construit sur quatre
leurs paramètres sont le plus souvent des paramètres physiques. En effet, chaque composant a un méthodes numériques de base :
comportement spécifique qui est décrit par un jeu d’équations et de paramètres. Ces modèles,
basés sur la physique et la mesure (cf. Figure 1.1), sont relativement complets et réalistes, ce qui 1. Une méthode numérique de formulation des équations: la MNA (Modified Nodal Analysis) ;
permet des simulations fiables. Ils sont placés dans des bibliothèques. Toutefois, il est possible de
créer, modifier un modèle associé à un composant ou ajouter des modèles que distribuent 2. Une méthode numérique de résolution d'un système linéaire: la décomposition LU ;
gracieusement la plupart des constructeurs de composants électroniques.
3. Une méthode numérique d'intégration: trapézoïdale ou Gear2 ;

4. Une méthode numérique d'analyse non linéaire: Newton-Raphson.

Il est représentable par le diagramme fonctionnel de la Figure 1.2. Les résultats de simulation
peuvent être imprimés dans un fichier ou traiter graphiquement à partir d'un interpréteur interactif
de commandes.

Figure 1.1 : Représentation fonctionnel de SPICE


2
L’association de circuits analogiques et numériques conduit à un circuit appelé circuit mixte.

5 6
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

1.1 – Notions de base et rappels :


 Un circuit électronique est constitué de deux sortes d’éléments :
 Les sources (ou générateurs) ;
 Les composants, eux mêmes classés en deux catégories :
o Les composants passifs (résistances, capacités, …) ;
o Les composants actifs (transistors, AOP…).

 Les circuits/systèmes électroniques se classent en deux grandes catégories :


 Les circuits/ systèmes analogiques ;
 Les circuits/ systèmes logiques (ou numériques).
Dans les circuits analogiques, les signaux peuvent prendre toutes les valeurs
comprises en –15V et +15V, comme illustré par la Figure 1.3.

Figure 1.3 : Représentation d’un signal analogique quelconque

Dans les circuits logiques (cf. Fig. 1.4), les signaux ne peuvent prendre que deux
valeurs, par exemple 0V et 5V, et le passage de l’une à l’autre de ces valeurs se fait
très rapidement.
Figure 1.2 : Diagramme fonctionnelle de SPICE

Figure 1.4 : Représentation d’un signal numérique quelconque

 On appelle dipôle, un circuit à deux bornes (A et B sur la Figure 1.5.a)). Ainsi, une
résistance est un dipôle linéaire et une diode est un dipôle non-linéaire.

a) b)
Figure 1.5 : a) Schéma équivalent d’un dipôle et b) Schéma équivalent d’un quadripôle

7 8
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

 On appelle quadripôle un circuit à quatre bornes (deux bornes d’entrée A et B et 1.2 –PSPICE sous Windows et DOS :
deux bornes de sortie C et D sur la Figure 1.5.b)).
Dans ce cours, nous allons nous intéresser au cœur de la simulation électronique par l’emploi
 Circuits linéaires et non-linéaires : du logiciel PSPICE.
Un circuit linéaire est un circuit tel que la différence de potentiel à ses bornes et le Sous Windows (Microsim Design Eval 8 ou Orcad 9.1, 9.2), on dessine tout d’abord le
courant le traversant soient reliés par une relation du type v = ki, où k est une schéma électrique (*.SCH) du circuit électrique à simuler à l’aide de l’éditeur graphique
constante. Soient : PSCHED.EXE en utilisant des composants disponibles dans des librairies ou en les créant (pour
créer son propre modèle, on peut éventuellement utiliser PARTS.EXE qui permet de créer des
 A i1, correspond v1 = ki1 ; modèles de composants à partir des abaques constructeurs ou réaliser un sous-circuits). Un fichier
 A i2, correspond v2 = ki2; *.CIR est alors créé à partir du schéma électrique accompli. Après cette saisie, on peut choisir un
 A i1+i2, correspond v = v1 + v2 = k(i1 + i2). type de simulation à effectuer. Le logiciel appelle alors automatiquement PSPICE.EXE qui réalise
la simulation proprement dite. Les résultats sont fournis soit sous forme de texte *.OUT
Un circuit non-linéaire ne vérifie pas cette propriété (f(A) + f(B) ≠ f(A + B)). Par (affichable avec l’éditeur TEXTEDIT.EXE), soit sous forme graphique *.DAT (visualisable avec
exemple : PROBE.EXE). Enfin, deux sauvegardes internes sont créée, avec les extensions *.CBK et *.CFG.
Tout cela est résumé à travers la Figure 1.6.
 Pour une loi du type v = ki2, où k est contant,
o A i1, correspond v1 = ki12 ;
o A i2, correspond v2 = ki22;
o A i1 + i2, correspond v = k(i1 + i2) 2 ≠ v1 + v2.

 Pour une loi du type v = ki + k’, où k et k’ sont contant,


o A i1, correspond v1 = ki1 + k’;
o A i2, correspond v2 = ki2 + k’;
o A i1+i2, correspond v = k(i1 + i2) + k’ ≠ v1 + v2.
Ici, la loi est linéaire mais le circuit ne l’est pas.

Exemples : - Composants linéaires : Résistances, capacités, inductances dans les


cas des courants ;
- Composants non-linéaires : Varistance, diode, transistors, …

Remarques : - Un circuit linéaire est constitué de composants linéaires. Un circuit Figure 1.6 : Représentation des différents fichiers propre à SPICE
non-linéaire est constitué de composants linéaires et non-linéaires.
- Un dipôle passif est un dipôle dont la caractéristique3 statique I-V Sous la version DOS mais aussi avec certains simulateur tel que ELDO, l’éditeur de schéma
passe par l’origine (I = 0 ↔ V = 0). Dans le cas général où cette n’existe pas toujours. Le circuit doit alors être décrit dans un fichier d’entrée (*.CIR) par une suite
caractéristique n’est pas une droite, le dipôle est non-linéaire. Pour un de lignes décrivant le circuit à analyser puis des commandes de simulation. Aussi, il est
dipôle passif non-linéaire, la loi d’Ohm V = RI, avec R constant, indispensable de maîtriser la syntaxe de ce type de fichier. De plus, en cas d’erreur de saisie de
n’est plus valable. Mais, on peut définir en chaque point P0, le schéma, lors de la définition de la fenêtre de simulation ou autre4, c’est généralement grâce à la
rapport V/I = R(P0). On parle alors de linéarisation. lecture de ce fichier que l’on remarque rapidement ce qu’il faut corriger.

1.2.i. Syntaxe du fichier d’entrée (*.CIR) :

Le fichier d’entrée ou fichier de simulation est l’image du circuit à analyser. Le fichier de


simulation SPICE est composée de :
 un titre,
 une "netlist" donnant une description topologique du circuit à analyser à partir du
type et de la valeur des différents composants actifs et passifs et de leur
interconnexion,
 un jeu de commandes pour fixer les analyses et le type de sortie à réaliser,

3 4
La caractéristique I-V d’un composant est la réponse en courant I à une tension V appliquée à ses bornes. En régime Penser aussi à regarder le contenu de la netlist et du fichier texte de sortie (*.OUT), lui aussi contient des indications
continu, on parle de caractéristique statique. sur l’éventuelle erreur faite.

9 10
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

 un jeu de directives de simulation, C1 3 0 1N


 un jeu de paramètres de contrôle, .AC DEC 10 1K 1MEG
 un jeu de structures de données, * Analyse fréquentielle de 1kHz à 1 MHz avec 10 points par décade
 une indication de fin de fichier. .PROBE
.END
La description effectuée dans la netlist est de type Nœud – Branche. Voici la syntaxe
proposée pour ce type de fichier, suivi d’un exemple : Syntaxe, grammaire et principales règles à suivre lors de la conception du fichier d’entrée :

Titre !!OBLIGATOIRE !! ⇒ Commencer par l’identification des nœuds et la numérotation (sur papier). Les numéros
* Commentaires } attribués sont des entiers positifs, pas forcément consécutifs5.
Vxx …} } ⇒ Un point de référence est toujours nécessaire : la Masse. Elle est toujours notée zéro 0.
Ixx …} Définition des sources }
… } ⇒ Le fichier d’entrée débute toujours par un Titre et finit toujours par un .END. Au centre,
Rxx …} } l’ordre de déclaration est arbitraire.
Qxx …} Description du circuit (Netlist) } ⇒ Pour la description du circuit à proprement parlé (sources et composants du circuit), chaque
… } ORDRE ligne commence par la référence du composant, où la première lettre indique le type de
.MODEL …} } composant (par exemple, R pour la résistance). Les champs suivant sont l’énumération des
.MODEL …} Définition des modèles } nœuds d’interconnexion. Puis, on peut trouver la référence à un modèle, la valeur du
… } composant et éventuellement d’autre valeurs optionnelles6.
.DC …} }
.AC …} Commandes de simulation } PROPOSE ⇒ Les commandes ou les directives de simulation commencent toujours par une point dans la
.TR …} } première colonne (exemple : .OP).
… } ⇒ Les commentaires commencent toujours par un astérisque (*) et peuvent être introduits
. PROBE } Stockage des résultats } n’importe où entre le titre et le .END.
… }
. OPTION …} Option de simulation } ⇒ Aucune différenciation n’est faite entre minuscule et majuscule. Autrement dit, les
… } identificateurs sont insensibles au casse (Npn = npn = NPN = …).
* Commentaires }
.END } Fin !!OBLIGATOIRE !! ⇒ Les différentes informations contenues sur une même ligne sont séparées par un nombre
arbitraire d’espaces.
Dans cet exemple, on souhaite réaliser l’analyse fréquentielle de 1 kHz à 1 MHz du circuit ⇒ On peut insérer un nombre quelconque de lignes vierges, n’importe où.
de la figure 1.7, avec une source de tension V1 avec une composante DC de 1 V et une
composante alternative de 0.5 V. Les résultats seront visualisés à travers le module graphique ⇒ Une ligne débutant par un plus « + » est interprétée comme une continuation de la ligne
PROBE. précédente.
⇒ Sens des courants : dans un dipôle et une source de courant, le sens positif du courant est celui
allant de la borne + à la borne -. Pour un générateur de tension, le sens positif est l’inverse, de
la borne – à +.
⇒ Broches Non Connectées : On peut souhaiter réaliser une analyse avec le pate d’un composant
en l’air (circuit ouvert, haute impédance). Hors, tout nœud à connexion unique est interprété
comme une erreur par le simulateur. Pour remédier à ce problème, l’astuce consiste à
introduire une résistance de très forte valeur de ce point à la masse (mais cette nouvelle
résistance génère une source de bruit supplémentaire qu’il faudra considérer le cas échéant).
Figure 1.7 : Schématic d’un circuit RLC simulé sous SPICE ⇒ Les commandes d’analyse :
.AC = Analyse alternative petit signal,
Cir_RLC
* Exemple de fichier d’entrée nommé Cir_RLC
V1 1 0 AC 0.5 DC 1 5
PSPICE admet aussi l’utilisation des lettres et de mots pour identifier les nœuds. D’autre part, si l’on regarde la
R1 1 2 1K numérotation des nœuds générée automatiquement par PSCHRD.EXE, celle – ci commencent toujours par $N.
6
L1 2 3 10U Les valeurs optionnelles ont des valeurs prédéfinies. Si vous ne les précisez pas, le simulateur prendra ces valeurs par
défaut.

11 12
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

.NOISE = Analyse en bruit, 1.2.iii. Eléments électroniques de base :


.PZ = Analyse pôles-zéros,
.SENS = Analyse en sensibilité,  Sources I, V :
.TF = Analyse de la fonction de transfert, o Indépendantes :
.DISTO = Analyse des distorsions,  Continues : IDC, VDC
.DC = Analyse statique multipoint,  Fréquentielles : IAC, VAC
.OP = Analyse statique monopoint,  Temporelles : VEXP, ISIN, VPULSE, IPWL, VSSFM, …
.TRAN = Analyse transistoire, o Dépendantes linéaires :
.FOUR = Analyse de Fourier.  Source de Courant Commandée en Courant F
 Source de Courant Commandée en Tension G
⇒ Les commandes de sortie :  Source de Tension Commandée en Courant H
.PRINT = Sortie listing d'un tableau des valeurs calculées,  Source de Tension Commandée en Tension E
.PLOT = Sortie listing d'un graphique des valeurs calculées. o Dépendantes non-linéaires B
.PROBE = Visualisation des résultats graphiquement sur PC à partir du module Probe.
⇒ Les directives de simulation :  Composants Passifs :
.OPTION = Options, o Résistance R
.NODESET = Conditions initiales pour le statique, o Capacité C
.IC =Conditions initiales pour le transitoire, o Inductance L
.INCLUDE = Inclusion de fichiers,
.END = Fin de fichier  Lignes de transmission :
o Ligne sans perte T
⇒ Les structures de donnée : o Lignes avec pertes O
 Les modèles (.MODEL) : certains composants (principalement les dispositifs intégrés) o Lignes RC uniformément distribuées U
nécessitent pour leur instanciation un grand nombre de paramètres, et très souvent un circuit
utilise beaucoup de ces composants avec le même jeu de paramètres (emploi de la même  Composants Actifs :
technologie). Pour cette raison, SPICE permet de définir la notion de modèle qui est une o Diode D
structure de données définie une seule fois avec un nom unique et ensuite instanciée autant de o Transistor bipolaire Q
fois que l'on veut en faisant référence à ce nom unique. o Transistor MOS M
 Les sous-circuits (.SUBCKT) : le sous-circuit est une structure de données répondant au o Transistor JFET J
mêmes principes que les modèles, à la différence près qu'il permet le regroupement de o Transistor FET à AsGa B
plusieurs instances dans un corps de fichier commençant par la directive de simulation o Transistor MESFET Z
.SUBCKT et se terminant par la directive de simulation .ENDS, et que l'instanciation se fait o Amplificateur OPérationnel (LM324, …)
avec le nom du sous-circuit précédé de la lettre X. o Circuits logiques (Inverseur, Bascules, …)
o Convertisseur Analogique-Numérique (CAN) O
1.2.ii. Facteurs d’échelle : o Convertisseur Numérique- Analogique (CAN) N

 Composants Particuliers :
Symbole Multiple Unité o Interrupteurs Commandés
F ou f 10-15 Femto  En Tension S
P ou p 10-12 Pico  En Courant W
N ou n 10-9 Nano o Couplage inductif K
U ou u 10-6 Micro o Fonction logique U
M ou m 10-3 Milli o Sous – Circuit X
K ou k 103 Kilo
MEG ou meg 106 Méga  Composants numériques :
G ou g 109 Giga Toutes les fonctions de base: NOT, OR, NOR, AND, NAND, JK, convertisseur A/N,
T ou t 1012 Téra N/A, ROM, RAM, …

13 14
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

1.2.iv. Les composants de la simulation : V1 3 1 DC 3.3 → Source de tension V1 de composante continue 3.3V
située entre les nœuds 3 et 1.
Avant de faire une description des sources, il faut tout d’abord connaître les notions liées à la V2 3 0 AC 0.01 DC 3.3 → Source de tension de composante
simulation. Les sources peuvent posséder deux paramètres différents (l’un, l’autre ou les deux). Le continue 3.3V et d’une amplitude alternative de 10mV situé entre le
premier est lié à la simulation fréquentielle, l’autre à la simulation temporelle. nœud 3 et la masse 0.
Dans l’analyse fréquentielle (AC Sweep en Anglais), le simulateur transforme le circuit en son VEE 0 VEE DC 15 → Alimentation symétrique continue de 15V
équivalent petit signal et fait varier la fréquence des sources sinusoïdales, à l’aide de l’inversion de entre les nœuds VEE et la masse 0.
matrice complexe. Il calcule alors la réponse en fréquence. A cet effet, PSPICE utilise les
paramètres d’analyse temporelle des sources (AC et DC), il met de côté les paramètres inutiles (les
paramètres définissant des signaux non sinusoïdaux).
Dans l’analyse transitoire ou temporelle (Transient en Anglais), PSPICE effectue une
simulation en fonction du temps, c’est la seule simulation qui permet d’observer la forme réelle
des signaux. A cet effet, le simulateur utilise les paramètres temporels des sources.
L’analyse continue quand à elle correspond à f = 0Hz, soit t  ∞.
Pour ces différentes analyses, il faut utiliser différents types de sources :

 Sources d’alimentation et d’excitation (STIMULI) :

o Les sources indépendantes :

 Source continue : Source employée pour l’analyse continue (DC)


Figure 1.8 : Source de tension PWL définie par
Courant : Ixx <Nœud+> <Nœud-> DC <Valeur> V1 1 0 PWL (0, 0) (10u, 5) (50u, 5) (55u, 1) (60u, 0)
Tension : Vxx <Nœud+> <Nœud-> DC <Valeur>
 Signaux Périodiques : Source employée pour l’analyse temporelle
où Valeur = Valeur de la tension continue appliquée entre les nœuds Nœud+ et
Nœud- ou Valeur du courant continue traversant la branche située entre les  PWL : Nuage de Points, segments fonction du temps.
nœuds Nœud+ et Nœud-.
PWL(<T1>, <Val1>) ( <T2>, < Val 2>) … (<Tx>, < Val x>)
 Source Alternative : Source employée pour l’analyse fréquentielle (AC)
où Tx = Instant Tx ;
Courant : Ixx <Nœud+> <Nœud-> AC <Amplitude> <Phase> Valx = Valeur (tension ou courant) à l’instant Tx.
Tension : Vxx <Nœud+> <Nœud-> AC <Amplitude> <Phase>
Courant : Ixx <Nœud+> <Nœud-> PWL(…)
où Amplitude7 = Valeur de la tension alternative appliquée entre les nœuds Tension : Vxx <Nœud+> <Nœud-> PWL(…)
Nœud+ et Nœud- ou Valeur du courant alternatif traversant la branche
située entre les nœuds Nœud+ et Nœud- ; Exemple : V1 1 0 PWL (0, 0) (10u, 5) (50u, 5) (55u, 1) (60u, 0)
Phase = Déphasage initial (en degré, 0 par défaut).
 EXP : Somme de deux exponentielles (une croissante et l’autre
 Source combinée : Source employée pour l’analyse continue et analyse décroissante)
fréquentielle
EXP(<ValIni> <ValMax> <TpsMont> <T
Courant : Ixx <Nœud+> <Nœud-> AC <Amplitude> <Phase> DC <Valeur> cstMont> <TpsChute> <TcsteChute>)
Tension : Vxx <Nœud+> <Nœud-> AC <Amplitude> <Phase>
où ValInit = Valeur initiale (tension ou courant) ;
Exemples : I1 1 0 AC 0.001 → Source de courant I1 de 1mA dans le domaine ValMax = Valeur maximale atteinte ;
fréquentielle se trouvant entre les nœuds 1 et 0. TpsMont = Temps de début de front montant (0 par défaut) ;
TcstMont = Constante de temps du front montant (Tstep par défaut) ;
TpsChute = Temps de début de front descendant (Tstep + TpsMont par
défaut) ;
7
Généralement mis à 1 pour la détermination aisée d’un gain.

15 16
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

TcstChute = Constante de temps du front descendant (Tstep par défaut). Tension : Vxx <Nœud+> <Nœud-> PULSE(…)

Courant : Ixx <Nœud+> <Nœud-> EXP(…) Exemple : V1 1 0 PULSE (0 1 1ns 1ns 1ns 5ns 15ns)
Tension : Vxx <Nœud+> <Nœud-> EXP(…)
 SIN : Sinusoïde d’amplitude constante ou amortie.
Exemple : V1 1 0 EXP (0 1 1n 5n 10n 5n)
SIN(<ValOffset> <ValAmpl> <Frq> <TpsRet> <FactAmort> <Phase>)

où ValOffset = Valeur continue ;


ValAmpl = Amplitude de la sinusoïde ;
Frq = Fréquence du signal sinusoïdal (1/Tstop par défaut) ;
TpsRet = Retard du début d’amortissement ;
FactAmort = Facteur d’amortissement ;
Phase = Déphasage initial (en degré).

Exemple : V1 1 0 SIN (1 1 100M 1n 20M 0)

Cette fonction dépendant du temps t a pour équation :


V = ValOffset + ValAmpl*exp[(TpsRet-t)*FactAmort]*sin(2*π*Frq *t+ Phase)

Figure 1.9 : Source de tension EXP définie V1 1 0 EXP (0 1 1n 5n 10n 5n)

 PULSE : Impulsion avec un niveau haut et un niveau bas, définies par


différents temps.

PULSE( <ValInit> <ValImp> <TpsRet> <TpsMont> <TpsChute> <TpsImp> <TpsPer>)

où ValInit = Valeur initiale (Etat « OFF ») ;


ValImp = Valeur de l’impulsion (Etat « ON ») ;
TpsRet = Retard de début d’impulsion (0 par défaut) ;
TpsMont = Temps de montée (toujours supérieur à 0, Tstep par défaut) ;
TpsChute = Temps de descente (toujours supérieur à 0, Tstep par défaut) ; Figure 1.11 : Source de tension SIN définie par V1 1 0 SIN (1 1 100M 1n 20M 0)
TpsImp = Largeur de l’impulsion (Tstop par défaut) ;
TpsPer = Période (Tstop par défaut).  SFFM : Modulation de Fréquence

SFFM( <ValOffset> <ValAmpl> <FrqPort> <Modulation> <Frq_Signal>)

où ValOffset = Valeur continue ;


ValAmpl = Amplitude de la porteuse ;
FrqPort = Fréquence du signal porteur (1/Tstop par défaut) ;
Modulation = Amplitude du signal modulant (0 par défaut) ;
Frq_Signal = Fréquence du signal modulant (1/Tstop par défaut).

Exemple : V1 1 0 SFFM (1 1 100M 2 30M)

Cette fonction transitoire a pour équation :


V = ValOffset + sin(2*π*FrqPort*t) + Modulation * sin(2*π*Frq_Signal*t)
où t est le temps.
Figure 1.10 : Source de tension PULSE définie par V1 1 0 PULSE (0 1 1ns 1ns 1ns 5ns 15ns)

Courant : Ixx <Nœud+> <Nœud-> PULSE(…)

17 18
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

POLY(1) permet de réaliser une source commandée unidimentionnelle (i.e. ne


dépendant que d’une seule différence de potentiel) suivant une loi polynomiale tel
que :
V’ = G0 + G1*V + G2*V² … + Gn*Vn.
POLY(2) permet de réaliser une source commandée bidimentionnelle (i.e.
dépendant de deux différences de potentiel différentes (Va et Vb) appartenant au
circuit simulé) suivant la loi suivante :
V’ = G0+G1a*Va+G1b*Vb +G2a*Va²+G2b*Vb² … +Gna*Van+GnB*Vbn.

Exemples : Eampli 3 0 1 2 1E5 → Représentation d’un amplificateur


opérationnel idéal de gain 10000, ayant pour sortie les nœuds 3 et 0
Figure 1.12 : Source de tension SFFM définie par V1 1 0 SFFM (1 1 100M 2 30M) et en entrée, les nœuds 1 et 2.
Epoly 3 0 POLY(1) (8 9) 1 0 0 2 → Source de tension Epoly de
Rappel : Chaque source temporelle d’un circuit à analyser peut contenir simultanément une bornes 3 et 0 dont la tension de contrôle est V = V8 - V9 avec une loi
information DC, AC et temporelle (mais un seul type de fonction transitoire par de commande : V’ = 1 + 0*V + 0*Vb + 2*V².
source).

o Les sources commandées : Les mêmes règles s’appliquent aux autres sources commandées ci-dessous.
Toutefois, on peut préciser la syntaxe lorsque la grandeur de commande est un courant.
Le contrôle (la commande) peut être linéaire ou polynomial. Il existe 4 types de sources Un courant n’est pas défini par deux nœuds mais par le nom d’une source de courant
commandées : indépendante qu’il traverse (branche). Pour mesurer le courant circulant dans une
branche, on intercale parfois une source de tension de valeur nulle (dite source
 E: Source de Tension Commandée en Tension (STCT) ampèremètre).
→ Gain en tension G (s.u.)
 G: Source de Courant Commandée en Tension (SCCT)
Exx <Nœud_Con+> <Nœud_Con-> <Nœud_com+> <Nœud_com+> <Val_G> → Trancondutance gm (A/V)

Exx <Nœud_Con+> <Nœud_Con-> POLY(1) (<Nœud_com+> <Nœud_com+>) Coefficients Gxx <Nœud_Con+> <Nœud_Con-> <Nœud_com+> <Nœud_com-> <Val_gm>

Exx <Nœud_Con+> <Nœud_Con-> POLY(2) (<Nœud_com1+> <Nœud_com1->) Gxx <Nœud_Con+> <Nœud_Con-> POLY(1) (<Nœud_com+> <Nœud_com+>) Coefficients
(<Nœud_com2+> <Nœud_com2->) Coefficients
Gxx <Nœud_Con+> <Nœud_Con-> POLY(2) (<Nœud_com1+> <Nœud_com1->) Coefficients

Figure 1.13 : Source de tension commandée en tension


Figure 1.14 : Source de courant commandée en tension
où <Nœud_Con+> et <Nœud_Con -> = Les deux bornes de la source de tension ;
<Nœud_com +> et <Nœud_com +> = Les deux nœuds entre lesquels est prise la  F: Source de Courant Commandée en Courant (SCCC)
tension de commande (V sur la figure 1.13) ; → Gain en courant Gi (s.u.)
<Val_G> = Gain en tension (G dans le dessin de la Figure 1.13) :
Fxx <Nœud_Con+> <Nœud_Con-> <Nœud_com+> <Nœud_com-> <Val_Gi>

19 20
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

Fxx <Nœud_Con+> <Nœud_Con-> POLY(1) (<Nœud_com+> <Nœud_com+>) Coefficients Une relation indépendante du temps est définie entre l’entrée et la sortie.

Fxx <Nœud_Con+> <Nœud_Con-> POLY(2) (<Nœud_com1+> <Nœud_com1->) Coefficients Exx <Nœud +> <Nœud -> VALUE={Expression}
Gxx <Nœud +> <Nœud -> VALUE={Expression}
Exemple : Afin de réaliser un transistor bipolaire idéal de gain 300 (cf. Figure
1.15), on utilisera la netlist suivante :
Vbase Base Emetteur 0 où <Nœud +> et <Nœud -> = Nœuds de la source Exx ou Gxx (tension ou
Ftransistor Collecteur Emetteur Vase 300 → Ici, la première courant) ;
ligne de code introduit une source de tension Vbase égale à 0V entre Expression = Equation mathématique entre accolades {…} contenant des
les nœuds Base et Emetteur (source ampèremètre). La seconde ligne constantes, des tensions en des points du réseau V(i) ou entre deux points
définit un dipôle Collecteur-Emetteur par une SCCC avec un gain de V(i,j), des courants traversant des sources I(Vbase) (source ampèremètre).
300 par le courant traversant Vbase.
Exemple : On souhaite créer une tension qui est proportionnelle à la puissance
dissipée dans un composant. Pour cela, il suffit de faire le produit de
la tension et du courant le traversant. Attention, sauf si le composant
est une source de tension, il faut introduire une source ampèremètre
pour mesurer le courant (cf. Figure 1.16) :

Figure 1.15 : Schéma équivalent simplifiée d’un transistor bipolaire

 H: Source de Tension Commandée en Courant (STCC)


→ Transrésistance R (Ω)

Hxx <Nœud_Con+> <Nœud_Con-> <Nœud_com +> <Nœud_com+> <Val_R>


Figure 1.16 : Réalisation d’une source de tension proportionnelle à la puissance dissipée dans
une résistance
Hxx <Nœud_Con+> <Nœud_Con-> POLY(1) (<Nœud_com+> <Nœud_com+>) Coefficients
R 2 0 2k
Hxx <Nœud_Con+> <Nœud_Con-> POLY(2) (<Nœud_com1+> <Nœud_com1->)
Vamp 1 2 0
Ewattmetre 3 4 VALUE={V(1,0)*I(Vamp)} → la tension entre 3
Remarques : L´utilisation de l´opérateur POLY comporte quelques faiblesses et limitations
et 4 est proportionnelle à la puissance dissipée.
intrinsèques parmi lesquelles on peut citer :
- la complexité de mise en œuvre ;
Remarques : - La possibilité de mélanger tensions et courants rend inutile
- toutes les fonctions non linéaires ne peuvent pas être décrites à l´aide de
l’implantation des sources H et F.
polynômes ;
- PSPICE admet des commandes récursives. Ainsi, il est parfaitement
- l´impossibilité de décrire un comportement en fréquence.
possible de définir une source à partir d’elle-même9.
- Lors d’une analyse transitoire, le temps peut être introduit par le
o Les sources de modélisation comportementales8 :
mot clé TIME (dans le cas où d’autre analyse que la simulation dans
le domaine temporelle soit faite, TIME est mis à 0). Par exemple :
La modélisation comportementale est une extension des sources contrôlées E et G.
Eam 6 0 VALUE={10*COS(6.28*1000*TIME)} → On a un
Elle permet une description de toute fonction électronique par une fonction de transfert
générateur sinusoïdal (similaire à VSIN) de fréquence 1000Hz et
exprimée littéralement ou sous forme de tableau de valeurs, aussi bien dans le domaine
d’amplitude 10V. Mais L’expression doit tenir sur une seule ligne.
temporel (VALUE, TABLE) que dans le domaine fréquentiel (LAPLACE, FREQ,
Dès lors, pour limiter sa longueur, on peur faire appel à la directive
CHEBYCHEV).
.FUNC :
.FUNC <Nom> <Expression>
 Circuits non-linéaires :
.FUNC <Nom> <variables> <Expression>
8 9
Attention, suivant la version de PSPICE utilisée, certaines des fonctions décrites ici ne seront pas nécessairement Par exemple, une tension aux bornes d’un dispositif en fonction du courant qui le traverse correspond à la définition
présentes et donc pas nécessairement utilisables. d’une impédance.

21 22
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

Exemple : .FUNC E(x) exp(x) .SUBSCKT AOPX0 1 2 3 masse


.FUNC sh(x) ((exp(x)- exp(-x))/2) → Ici on fait RINDIFF 1 2 10MEG
appel à des fonctions préalablement définie dans le EOUT 3 masse TABLE _{V(3)-V(masse)}=(-150E-6 -15) (150E-6
simulateur10 (exp). + 15)
ROUT 3 masse 10MEG
La fonction TABLE peut aussi être employée : .ENDS

TABLE(x,x1,y1,x2,y2,…xn,yn)  Définition de la transformée de LAPLACE :

où si x < x1 alors y = y1, si x > xn alors y = yn. Entre ces limites, il y a On peut aussi simuler des filtres à partir de leur fonction de transfert en s :
interpolation linéaire.
Exx <Nœud +> <Nœud -> LAPLACE_{Expression}=(Fonction de transfert en s)
La fonction LIMIT peut aussi être employée : Gxx <Nœud +> <Nœud -> LAPLACE_{Expression}=(Fonction de transfert en s)

LIMIT(x,min,max) Exemple : On souhaite réaliser un filtre de Butterworth du 3ème ordre avec le


schéma de la figure 1.18. On veut l’entrée au nœud 1, la sortie au
où si x < min alors y = min, si x > max alors y = max et si min < x < max. alors nœud 2, une pulsation de coupure de 1 et un gain à fréquence nul de
y = x. 0.5.

 Utilisation d’un TABLE de valeurs :

La TABLE est une suite de couple de valeurs entrée-sortie (<ValE> <ValS>) entre
lesquelles le logiciel effectue une extrapolation linéaire. Au delà des valeurs limites,
la tension de sortie reste constante. Cette forme est souvent bien adaptée à la
description de caractéristiques non-linéaire tel que les diodes tunnel ou les thyristors
UJT.
Figure 1.18: Schématic employé pour la réalisation d’un filtre de Butterworth du 3ème ordre
Exx <Nœud +> <Nœud -> TABLE_{Expression}=(<ValE> <ValS>) (…)
Gxx <Nœud +> <Nœud -> TABLE_{Expression}=(<ValE> <ValS>) (…) Vin 1 0 AC 1
R1 1 0 1k
Exemples : Elimité 2 0 TABLE_{Vsortie}=(-7.5 -15) (7.5 15) → On a ici un R2 2 0 1k
gain de 2 et une limitation à ±15V. EBTW 2 0 LAPLACE_{2*V(1)}=(1/1+2*s+2*s*s+s*s*s)
Un transistor unijonction (voir Figure 1.17) peut se définir ainsi :
Vamperemetre 2 0 TABLE_{I(Vamparemetre)}=(0 0) (10U 10)  Filtre de CHEBYSHEV :
(1M 1) (20M 20)
Les dernières versions de PSPICE permettent enfin de définir des filtres de
Chebyshev à partir de leur gabarit, gains dans la bande passante et coupée et
fréquences limites.

Figure 1.17 : Transistor unijonction

Pour un amplificateur idéal de gain 10000, avec un écrêtage à ±15V,


on peut définir un sous-circuit11 comme suit :
Figure 1.19 : Gabarit de différents types de filtres
10
Ces fonctions sont les mêmes que celles présentent dans le module PROBE (voir paragraphe 1.4, plus loin).
11
Voir plus loin pour la syntaxe de description d’un sous-circuit.

23 24
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

Exx <Nœud +> <Nœud -> CHEBYSHEV_{Expression}=<TYPE> <Fréquences de coupure> C1 4 5 POLY(1) 1U .1U .05U → Capacité
<Atténuations> C1 entre les nœuds 1 et 0 définie comme une
Gxx <Nœud +> <Nœud -> CHEBYSHEV_{Expression}=<TYPE> <Fréquences de coupure> fonction non-linéaire de la tension grâce au
<Atténuations> mot clé POLY et tel que :
C(V) = C0 + C1*V + C2*V² + …+ Cn*Vn, avec n ≤ 20.
où TYPE = LP pour un filtre passe bas (Low Pass), HP pour passe haut, BP Soit ici : C(V) = 1U + 0.1U*V + 0.05U*V².
pour coupe bande. Cload X Y 15P IC=5V → Capacité Cload de
15pF entre les nœuds X et Y, avec une
Exemple : Epassebande 4 0 CHEBYSHEV_{V(2)}= BP 800 1.2k 2k 3k condition initiale de 5V appliquée entre les
0.1dB 50dB →Filtre de Chebyshev qui en dessous de 800Hz et au bornes + et – du condensateur.
dessus de 3kHz atténue d’au moins 50dB alors que l’atténuation ne
dépasse pas 0.1dB dans la bande passante 1.2kHz à 2kHz.  Inductance Lxx <Nœud+> <Nœud-> <Val>
Lxx <Nœud+> <Nœud-> <Modèle > <Val>
 Quelques composants analogiques de base Lxx <Nœud+> <Nœud-> <Val> IC=<Cond Init>

 Résistance : Rxx <Nœud+> <Nœud-> <Val> Exemples : LA 2 3 2M → Inductance de 2mH entre les
Rxx <Nœud+> <Nœud-> <Modèle > <Val> nœuds 2 et 3.
Rxx <Nœud+> <Nœud-> <Val> TC=<TC1>,<TC2> L1 4 5 POLY(1) 1m .1m .05m IC=.1 → Bobine
avec <Nœud+> et <Nœud-> = Nœuds de connexion ; L1 entre les nœuds 4 et 5 et définie comme une
<Modèle > = Modèle employé ; fonction non-linéaire de la tension et tel que :
<Val> = Valeur de la résistance. Celle-ci peut être L(V) = L0 + L1*I + L2*I² + … + Ln*In, avec n ≤ 20.
positive ou négative, mais jamais nulle ; Soit ici : L(V) = 1m + 0.1m*V + 0.05m*V².
TC = Paramètre optionnel de dépendance en et aussi avec une condition initiale de I allant du nœud
température. 4 vers le nœud 5) de 0.1A.
La dépendance en température est définit comment
suit :  Diode : Dxx <Nœud+> <Nœud-> <Modèle >
TNOM = température nominale (27°C par défaut mais Dxx <Nœud+> <Nœud-> <Modèle > [area] [OFF] [IC=<icval>]
peut être modifiée en utilisant la commande . lib eval.lib
.OPTIONS) . model <Nom> D <Paramètres>
DT = T – TNOM avec : <Nœud+> = Anode ;
R(T) = R(TNOM)*(1+TC1*DT+TC2*DT) <Nœud-> = Cathode ;
area = Facteur d’échelle (mis à 1 par défaut) ;
Exemples : R25 5 2 2.2K → Résistance R25 de 2.2kΩ entre OFF = Rend la diode bloquée au départ d’une analyse
les nœuds 5 et 2. DC ;
Rcc 1 3 100 TC=0.001,.015 → Résistance Rcc de <icval> = Valeur initiale du courant pour l’analyse
100Ω entre les nœuds 1 et 3 avec une dépendance transitoire.
en température définie par TC1=0.001 et
TC2=0.015. Exemples : D1 1 2 MD
.model MD D IS=100p n=1.8 → Diode de
 Capacité : Cxx <Nœud+> <Nœud-> <Val> modèle D située entre les nœuds 1 et 2 et appel de
Cxx <Nœud+> <Nœud-> <Modèle > <Val> ce modèle par défaut D où on modifie deux des
Cxx <Nœud+> <Nœud-> <Val> IC=<Cond Init > paramètres de ce modèle : les valeurs du courant
avec : IC = Différence de potentiel se trouvant entre les 2 de saturation IS et du coefficient d’émission n (les
bornes de la capacité en début de simulation lors d’une autres paramètres du modèle, tout aussi
analyse transitoire12 (soit à t=0). modifiables, gardent les paramètres par défaut).
D2 4 2 D1N4148
Exemples : Cin 1 0 1U → Capacité Cin de 1µF entre les .LIB EVAL.LIB → Appel de la diode modélisant
nœuds 1 et 0. le comportement de la 1N4148.

12
Sous la version DOS, le mot clé UIC doit être présent dans l’analyse .TRAN pour que la commande IC soit utilisée.

25 26
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

Dans le tableau ci-dessous, on trouve la liste des paramètres redéfinies (pour un transistor PNP, le modèle
de l’un des modèles employé par le simulateur pour simuler le sera appelé par le mot clé PNP).
fonctionnement d’une diode. Chacun de ces paramètres peut
être modifié par l’utilisateur. Il est à noter qu’il existe des Le tableau ci-dessous liste les principaux paramètres de l’un
modèles plus évolués faisant appel à un nombre de paramètres des modèles employé par le simulateur pour simuler le
plus importants. fonctionnement d’un transistor bipolaire :

Nom Définition Unités Valeur par défaut Area Nom Définition Unités Valeur par défaut Area
IS Courant de saturation A 1E-14 * IS Courant de saturation A 1E-16 *
RS Résistance série Ω 0 / BF Béta max idéal en polarisation directe - 100
N Coefficient d’émission - 1 NF Coeff. d’émission pour le courant en direct - 1
TT Temps de transit s 0 VAF Tension Early en direct V Infini *
CJ0 Capacité de jonction à V=0 F 0 * IKF Coude pour le courant fort en BF A Infini *
VJ Potentiel de jonction V 1 ISE Courant de saturation B-E A 0
M Coefficient exponentiel de la jonction - 0.5 NE Coeff. d’émission B-E - 1.5
EG Energie d’activation (gap) eV 1.11 BR Béta max idéal en polarisation inverse - 1
XTI Exposant de la variation du courant de saturation - 3 NR Coeff. d’émission pour le courant en inverse - 1
en température VAR Tension Early en inverse V Infini
KF Coefficient du bruit en 1/f - 0 IKR Coude pour le béta inverse A Infini *
AF Exposant du bruit en 1/f - 1 ISC Courant de saturation B-C A 0 *
FC Coefficient pour la capacité de déplétion polarisée - 0.5 NC Coeff. d’émission B-C - 2
en inverse RB Résistance de base à polarisation nulle Ω 0 /
BV Tension de claquage inverse V Infini IRB Courant pour lequel RB diminue de moitié A Infini *
IBV Courant à la tension de claquage A 1E-10 * RBM RB min à fort courant Ω 0 /
RE Résistance d’émetteur Ω 0 /
RC Résistance de collecteur Ω 0 /
 Transistor Bipolaire : Qxx <C> <B> <E> <Modèle> CJE Capacité de déplétion à VBE=0 F 0 *
Qxx <C> <B> <E> [<S>] <Modèle> VJE Potentiel interne de jonction B-E V 0.75
Qxx <C> <B> <E> [Substrat] <Modèle> [area] [OFF] [IC=<vbe>,<vce>] MJE Facteur exponentiel de la jonction B-E - 0.33
. lib eval.lib TF Temps de transit idéal en direct s 0
. model <Nom> PNP <Paramètres> XTF Coeff. pour la dépendance pour la base de TF - 0
. model <Nom> NPN <Paramètres> VTF Tension décrivant la dépendance de TF en VBC V Infini
. model <Nom> LNPN <Paramètres> ITF Paramètre de fort courant pour TF A 0 *
avec : <C> = Collecteur ; PTF Excès de phase à la fréquence 1/(2π*TF) ° 0
<B> = Base ; CJC Capacité de déplétion à VCE=0 F 0 *
<E> = Emetteur ; VJC Potentiel interne de la jonction B-C V 0.75
<S> = Subtrat ; MJC Facteur exponentiel de la jonction B-C - 0.33
<vbe>, <vce> = valeur initiale des XCJC Fraction de CJC connecté à la base interne - 1
tensions pour l’analyse transitoire. TR Temps de transit idéal en inverse s 0
CJS Capacité de déplétion à vcs = 0 F 0 *
Exemples : Q1 2 7 9 Q2N2222A VJS Potentiel interne de la jonction C-S V 0.75
.LIB EVAL.LIB → Il s’agit d’un transistor MJS Facteur exponentiel de la jonction C-S - 0
du commerce. Son collecteur est au nœud 2, la XTB Coeff. En température pour bêta - 0
base au nœud 7 et son émetteur au nœud 9. Son EG Energie du gap eV 1.11
modèle est donné par le constructeur dans la XTI Exposant de la température pour IS - 3
bibliothèque eval.lib. KF Coeff. du bruit en 1/f - 0
Q2 3 6 8 MD AF Exposant du bruit en 1/f - 1
.MODEL MD NPN BF=100 VAF=10 → Il FC Coeff. pour la capacité de déplétion en direct - 0.5
s’agit d’un transistor NPN où le gain en
courant BF et la tension d’Early VAF sont

27 28
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

 Transistor JFET : Jxx <D > <G> <S> <Modèle> PD, PS = Périmètre du drain et de la source (en
Jxx <D > <G> <S> <Modèle> [area] [OFF] [IC=<vds>,<vgs>] µm, 0 par défaut) ;
. model <Nom> NJF <Paramètres> NRD, NRS = Nombre de carrés équivalents
. model <Nom> PJF <Paramètres> aux diffusions de drain et de source. Ces
avec : <D> = Drain ; valeurs sont multipliées par la résistance par
<G> = Grille ; carré RSH pour obtenir les résistances séries de
<S> = Source ; drain et de source (1 par défaut) ;
<vds>, <vgs> = valeur initiale des tensions <vds>, <vgs>, <vbs> = valeur initiale des
pour l’analyse transitoire. tensions pour l’analyse transitoire.

Exemple : J1 2 3 2 0 MD Différents niveaux de modèles peuvent être utilisés. On peut


.model MD NJF → Transistor JFET de type N basé citer en autre :
sur le modèle NJF (pour le type P, ce sera PJF). - LEVEL=1 MOS1, Schichman-Hodges ;
- LEVEL=2 MOS2, A. Vladimirescu & S. Liu ;
Le tableau ci-dessous liste les principaux paramètres de l’un - LEVEL=3 MOS3, Model semi-empirique de A.
des modèles les plus simples employé par le simulateur pour Vladimirescu & S. Liu.
simuler le fonctionnement d’un transistor JFET :
Nom définition Unités Valeur par défaut
Nom Définition Unités Valeur par défaut Area LEVEL Référence du modèle - 1
VT0 Tension de seuil V -2 VT0 Tension de seuil V 0
BETA Transconductance A/V 1E-4 * KP Transconductance A/V 2E-5
LAMBDA Paramètre de modulation de la longueur du 1/V 0 GAMMA Paramètre de seuil pour le substrat V0.5 0
canal PHI Potentiel de surface V .6
RD Résistance de drain Ω 0 / LAMBDA Modulation de la longueur du canal (MOS1 & 2) 1/V 0
RS Résistance de source Ω 0 / RD Résistance ohmique du drain Ω 0
CGS Capacité de jonction G-S à VGS = 0 F 0 * RS Résistance ohmique de la source Ω 0
CGD Capacité de jonction G-D à VDS = 0 F 0 * CBD Capacité de la jonction B-D à VBD = 0 F 0
PB Potentiel de jonction de grille V 1 CBS Capacité de la jonction B-S à VBS = 0 F 0
IS Courant de saturation de la jonction grille A 1E-14 * IS Courant de saturation pour la jonction substrat A 1E-14
KF Coeff. du bruit en 1/f - 0 PB Potentiel de la jonction substrat V .8
AF Exposant du bruit en 1/f - 1 CGS0 Capacité de recouvrement G-S F/m 0
FC Coeff. pour la capacité de déplétion polarisée - 0.5 CGD0 Capacité de recouvrement G-D F/m 0
en direct RSH Résistance par carré des diffusions drain et source Ω/ 0
CJ Capacité de la jonction substrat vertical à V =0 F/m 0
 Transistor MOS : Mxx <D> <G> <S> <B> <Modèle> MJ Coeff. exponentiel de la jonction substrat vertical - 0
Mxx <D> <G> <S> <S> <Modèle> [L=ValL] [W=ValW] [AD=ValAD] [AS=ValAS] [PD=ValPD] CJSW Capacité de la jonction substrat horizontal à V =0 F/m 0.5
+ [PS=ValPS] [NRD=ValNRD] [NRS=ValNRS] [OFF] [IC=<vds>,<vgs>,<vbs>] MJSW Coeff. exponentiel de la jonction substrat horizontal - 0
. model <Nom> PMOS <Paramètres> JS Densité de courant de saturation de la jonction substrat A/m .33
. model <Nom> NMOS <Paramètres> TOX Epaisseur d’oxyde M 1E-8
NSUB Dopage du substrat cm-3 1E-7
avec : <D> = Drain ; NSS Densité d’états de surface cm-2 0
<G> = Grille ; NFS Densité d’états de surface rapides cm-2 0
<S> = Source ; TPG Type de matériau de grille (1=-B, -1=B, 0 sinon) - 1
<B> = Substrat (Bulk) ; XJ Epaisseur de la jonction métallique m 1U
L = Longueur du canal (100µm par défaut) ; LD Diffusion latérale m .8U
W = Largeur du canal (100µm par défaut) ; U0 Mobilité de surface cm/Vs 600
AD, AS = Surface des diffusions de drain et de UCRIT Champ critique pour la dégradation de mobilité V/cm 1E4
source13 (en m², 0 par défaut) ; (MOS2)
UEXP Exposant du champ critique pour la mobilité (MOS2) - 0
13
UTRA Coeff. du champ transverse de dégradation de mobilité - 0
Ces valeurs peuvent être modifiées en utilisant la commande .OPTIONS.

29 30
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

VMAX Vitesse de drift maximale des porteurs m/s 0


NEFF Coeff. de charge totale du canal - 1
XQC Proportion de charges du canal attribuée au drain - 1
KF Coeff. du bruit 1/f - 0
AF Exposant du bruit 1/f - 1
FC Coeff. pour la capacité de déplétion en direct - .5
DELTA Effet de largeur sur la tension de seuil (MOS2 & 3) - 0
THETA Modulation de mobilité (MOS3) 1/V 0
ETA Feedback statique (MOS3) - 0
KAPPA Facteur de champ de saturation (MOS3) - .2

Exemple : Mc 2 3 2 0 MD W=10U L=1U


.model MD NMOS → Transistor NMOS, faisant
appel au modèle nommé NMOS de largeur de grille
Figure 1.20 : Double circuit RC
10µm et de longueur 1µm, les autres paramètres
restent ceux par défaut.
.MODELE nmos1 NMOS LEVEL 1 TOX=1.264e-8
+ KP=100e-6 LAMBDA=0.03 VT0=0.7
+ GAMMA=0.8 PHI=0.5 CJ=0.35e-3 MJ=0.450
+ CJSW=0.31e-9 MJSW=0.49
mn1 d1 g 0 0 nmos1 W=47u L=1.0u → Transistor
NMOS, faisant appel au modèle nommé NMOS de
level , avec de largeur de grille 47µm et de longueur
1µm. Ici, un certain nombre de paramètre ont été
modifié.
Figure 1.21 : Sous-circuit réalisé d’un RC1.3 – Simulations sous PSPICE :
 Les structures hiérarchiques et sous - circuits :
Ces simulations ne sont pas exclusives et peuvent être définies simultanément dans le
Afin d’alléger le fichier d’entrée, principalement quand une structure se répète plusieurs fois, même fichier pour une exécution enchaînée. Les commandes qui suivent ne génèrent des
on associe un ensemble d’éléments à un bloc nommée sous-circuit. La syntaxe employée est alors informations que sur la spécification des commandes .PRINT, .PLOT ou .PROBE. Nous nous
la suivante : attarderons ici sur les analyses principales.
.SUBCKT <nom> <nœud_1> <nœud_2> … <nœud_X>

… Description des branches identiques (Netlist)
.ENDS

Exemple : Afin de réaliser la simulation du circuit de la figure 1.20, on va créer la netlist du sous-
cicuit correspondant à la figure 1.21 :

CircDoubleRC
Vin 1 0 AC 1
.subckt SS_circuit n1 n2 n3
R1 n2 n3 1k
C1 n1 n2 1n
.ends

XscRC1 1 2 0 SS_circuit
XscRC2 2 3 0 SS_circuit

.end

31 32
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

1.3.i. Simulation en régime continu :


où Loi_Var = Mode de variation du paramètre (LIN, DEC ou OCT) ;
Le régime continu correspond à un signal indépendant du
Variable = Nom du paramètre variant ;
temps. Seules les sources DC sont alors considérées, les autres
Valeur_Deb = Valeur initiale du paramètre ;
sources sont ignorées. Les sources de courant non continues sont
Valeur_Fin = Valeur final du paramètre ;
alors des circuits ouverts14 et les sources de tensions non continues
Pas = Pas de variation ;
deviennent des court-circuits15. Différentes commandes sont disponibles en fonction de ce
Nb_Points = Nombre de points simulés entre Valeur_Deb et
que l’on veut visualiser :
Valeur_Fin ;
LIST … = Suite de valeurs prises successivement le paramètre.
o .OP : Analyse du point de fonctionnement (I0 – V0).
Exemple : .DC VIN -0.25 0.25 0.05 → Le générateur de tension VIN
Par cette commande, on évalue le courant de chaque branche et les
varie de -0.25V à 0.25V par pas de 0.05V.
tensions de tous les nœuds du circuit dans des conditions d’état stable
.DC TEMP LIST -50 0 20 50 80 → Calcul de cinq points de
établies. Le calcul de ce point est effectué en remplaçant les capacités par
polarisation en fonction de la température (TEMP). Il est à
des circuits ouverts et les inductances par des court-circuits. Pendant ce
noter que la commande .TEMP LIST -50 0 20 50 80 permet
calcul, on rappellera que seuls sont considérés les sources définies en
une simulation équivalente.
continue, les autres étant ignorées. Il est aussi à noter que ce calcul est
systématiquement effectué avant chaque analyse en continu ou temporel, la
Algorithme de simulation :
commande .OP ne sert qu’à générer le détail de ce calcul dans le fichier de
sortie.
- Pour les circuits linéaires, on peut résumer
l’algorithme de simulation en régime continu par le
Syntaxe : L’appel de la commande dans le fichier d’entrée est simplement :
diagramme suivant :
.OP. Elle ne requière aucun argument supplémentaire ou définition
de grandeur de sortie.
1 - Description de la structure du circuit
o .DC : Analyse de balayage en continu.
Elle permet de simuler la variation continue d’un paramètre du circuit 2 - Analyse de la description
ou d’affecter des valeurs à un paramètre à modifier et d’en mesurer les
conséquences (par exemple, la variation du courant en fonction de la tension
appliquée). 3 - Mise sous la forme d’un système mathématique de la
On peut la considérer comme une succession de calculs de point de forme [Y] * [V] = [I] (méthode nodale, cf. Figure 1.22).
fonctionnement, où la liste de ces points de calcul est déterminée soit par sa ... ... ... ... ... ... ...  ...   ... 
loi de variation (linéaire LIN, Décimal DEC et Octale OCT), soit par une      
... 1 / Rk ... ... − 1 / Rk ... ...  ...   ... 
liste d’échantillons. ... ... ... ... ... ... ...  Vj   Ij  4 - Résolution numérique du système
Pour des raisons de rapidité de calcul, ce calcul n’est pas effectué       (méthode du pivot, …)
... − 1 / Rk ... ... 1 / Rk ... ... *  ...  =  ... 
pour chaque itération mais par extrapolation du résultat du premier point ... ... ... ... ... ... ... Vk  − Ij
puis des deux derniers points calculés afin de servir de base de calcul du      
... ... ... ... ... ... ...  ...   ... 
point suivant. ... ... ...  ...   ...  5 - Résultats : V1, V2, …, Vj
 ... ... ... ...
Certaines limitations sont cependant à remarquer. En effet, l’analyse
en continu ne permet pas de simuler : Figure 1.22 : Illustration de la matrice des
Remarque : Le calcul du couple (I, V) sous SPICE
- des charges de capacités autour d’une valeur moyenne ; emploie la méthode nodale à partir de la matrice des
admittances
- des phénomènes non linéaires intervenant entre deux points simulés. admittances [Y] (inverse de l’impédance) et les vecteurs
courants [I] et des tensions [V]. Ceux-ci ont précédemment été établis à partir de la
Syntaxe : netlist du fichier d’entrée.

.DC <Loi_Var> <Variable> <Valeur_Deb> <Valeur_Fin> <Pas> - Pour les circuits contenant des éléments non-linéaires (circuit, par conséquent, non-
.DC <Loi_Var> <Variable> <Valeur_Deb> <Valeur_Fin> <Nb_Points> linéaire), après avoir effectué les deux premières étapes de l’algorithme précédent, la
.DC <Variable> LIST <Valeur1 > <Valeur2 >…<ValeurX > procédure suivie devient :
14
Idéalement, leur impédance est infinie.
15
Impédance idéalement nulle.

33 34
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

3 - Linéarisation des composants (Développement de Taylor au 1er ordre) 1.3.ii. Simulation dans le domaine fréquentiel (ou harmonique) :
I = I0 + [dI/dV] * [V-V0] = G* V + F
⇒ Modèle linéarisé des composants Le simulateur procède d’abord à la linéarisation (développement
limité à l’ordre 1) de tous les composants du circuit électrique autour du
point de fonctionnement. Puis, la simulation proprement dite est effectuée à partir de ce
4 - Mise sous la forme d’un système mathématique de la forme : schéma équivalent petit signal obtenu. Il fait alors varier la fréquence des sources
[Y] * [V] = [I] sinusoïdales16. A l’aide de l’inversion de la matrice complexe des admittances, le
simulateur calcule alors la réponse en fréquence (méthode nodale). Les sources autres
qu’alternatives sont alors ignorées dans ce schéma électrique équivalent. Il est à noter que
5 - Résolution du système et comparaison au modèle réel ce type d’analyse ne permet pas la détection de la saturation et de non-linéarité(s) de
certains composants. Il est donc nécessaire de vérifier, au préalable, que le circuit se trouve
dans une zone de linéarité du montage par une analyse temporelle. Dans le cas contraire,
Oui 6 - Convergence Non cela peut conduire à des erreurs et donc une mauvaise interprétation des résultats observés.
Les valeurs (I, V) obtenues à la Xième itérations
vérifient ou non à un epsilon près les valeurs o .AC : Analyse fréquentielle
trouvées avec le modèle réel
(Algorithme de Newton-Raptson,…). Par ce type d’analyse, il est possible d’obtenir un certain nombre de
paramètres de performance du circuit des plus pertinents (voir Remarques).

Fin Syntaxe : .AC <Loi_Var> <Nb_Points> <Frq_Deb> <Frq_Fin>


Solution ou non convergence
où Loi_Var = Loi de variation (LIN, DEC ou OCT) ;
Nb_Points = Nombre de points par unité de variation ;
Frq_Deb = Fréquence de début d’analyse ;
Exemple d’un circuit à diode : Frq_Fin = Fréquence de fin d’analyse.

Exemple : .AC DEC 20 1MEG 100MEG → On fait varier la fréquence de


simulation de 1MHz et 100MHz avec 20 points par décade.
.AC LIN 21 1K 100K → Analyse en courant alternatif de 21
points de fréquence, distribués linéairement entre 1kHz et
100kHz.

Remarques : Grâce au module PROBE.EXE, on peut ainsi obtenir sous


DOS un tracé diagramme de Bode (gain et phase en fonction
de la fréquence : VDB(VOUT) – VDB(VIN) et VP(VOUT)
versus Frequency), de Nichols (gain en fonction de la phase :
VDB(VOUT) – VDB(VIN) versus VP(VOUT)) ou même
Nyquist (pour la vérification de la stabilité d’un système).
Mais cette simulation permet aussi le calcul des impédances
d’entrée et de sortie d’un montage (V(VIN)/I(VIN)) et
V(VOUT)/I(OUT) versus FREQUENCY).
Figure 1.23 : Illustration de la méthode utilisée lors d’une analyse DC pour un circuit non-linéaire
Algorithme de simulation : Applicable qu’aux circuits linéaires équivalents,
Id = Is [exp(qVd/nkT) - 1] → dId/dVd = (qIs/nkT)exp(qVd/nkT) ≈ (q/nkT) (I – I0) l’algorithme employé est similaire au cas DC.
Cependant, par rapport au cas continu les admittances,
→ Linéarisation: Id = I0 + (dId/dVd)*(V - V0)
tensions et courants sont ici complexes (ou amplitude
≈ (q/nkT) (I0 - Is)*V - (q/nkT) (I0 - Is)*V0 – I0
≈G*V–F 16
Ce paramètre AC ne doit pas être confondu avec les sources VSIN et ISIN qui sont des sources sinusoïdales
employées lors de simulations dans le domaine temporel.

35 36
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

et phase) et chaque terme est exprimé à une  Calcul des matrices [Y], [V] et [I] (ou G, F)
fréquence donnée.  Résolution du système (similaire aux cas précédents)
 Mémorisation des résultats obtenus
1.3.iii. Simulation dans le domaine temporelle :

Autrement nommée analyse transitoire, ce type de simulation Oui Fin Non, t = t + ∆t


correspond à ce que nous pourrions observer à partir d’un
oscilloscope, l’examen du signal dans l’espace des temps.
Remarque : Les sources contrôlées définies dans le domaine des fréquences voient
o .TRAN : Analyse transitoire (TRANSCIENT sous Windows) évoluée leur réponse à une impulsion.

Syntaxe : .TRAN <Pas_Max > <Durée_Ana>


.TRAN <Pas_Max > <Durée_Ana> <Delai>
.TRAN <Pas_Max > <Durée_Ana> <Delai> <Pas_Ana>

où Pas_Max = Pas de génération des résultats ;


Durée_Analyse = Dimension de la fenêtre de simulation ;
Delai = Retard avant le début de l’enregistrement des données de l’analyse ;
Pas_Ana = Pas d’analyse.

Exemple : .TRAN 1nS 100nS 10nS → Définition d’une fenêtre de simulation


de [0 ; 100nS] avec un pas maximal de 1nS avec un retard de 10nS
de début de stockage des résultats de simulation.
.TRAN 1M 100M → Analyse effectuée à partir de t = 0s (valeur par
défaut) jusqu’à 100ms avec un pas d’échantillonnage de 1ms.

Remarques : Ce type de simulation doit tenir compte de la fréquence des signaux


(minimum et maximum) à travers le pas de simulation. Par défaut, le
pas équivaut à un cinquantième de la durée de la simulation. Puis, il
sera automatiquement réduit en cas de nécessité pour des raisons de
convergence (paramètre RELTOL). Si ce pas est trop grand, les Figure 1.24 : Exemple d’un circuit linéaire, la capacité
erreurs de convergence ne sont pas toujours détectées. Il conviendra
donc de toujours spécifier un pas d’analyse inférieur à la durée des
événements à analyser. Typiquement, on prendra un pas de 1/100 à
1/1000 de la durée de simulation. Ce type de problème se rencontre
souvent dans les systèmes oscillants, résonnants ou, plus
généralement, dans le cas de fortes variations des signaux.
Le retard de début d’analyse permet d’éviter de générer des résultats
avant l’établissement du régime établi (ce temps peut aussi être réduit
par l’emploi des commandes .IC ou .NODESET).

Algorithme de simulation : Pour des circuits linéaires, l’analyse transitoire


possède un algorithme de calcul se résumant à :

Calcul du point de fonctionnement à t

Pour chaque valeur de t :


 Etablissement d’un modèle équivalent à chaque composant du circuit

37 38
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

 Simulations multiples :
Par simulations multiples, on entend effectuer plusieurs fois la même simulation en faisant
varier la valeur d’un paramètre, d’une variable (température, tension d’un nœud, ou paramètre du
modèle, …).

Syntaxe : .STEP <LoiVar> <Var> <ValDebut> <ValFin> <Pas>


.STEP <LoiVar> <Var> <ValDebut> <ValFin> <Nb_Pt>
.STEP <Var> LIST <Valeur>

où: LoiVar = Loi de variation ;


Var = Variable ;
ValDebut = Valeur de début de la variable ;
ValFin = Valeur de fin de la variable ;
Pas = Pas de simulation ;
Nb_Pt = Nombre de point de simulation ;
Val = Valeurs prises successivement par la variable.

Figure 1.25 : Cas des circuits non - linéaires : L’utilisation des variables s’effectue par l’emploi de la commande .PARAM. Elles sont
alors utilisées entre accolades ({Nom_Var}). Par défaut, la température TEMP et le temps TIME
1.3.iv. Simulations complémentaires : sont deux variables internes au système.

 Les Conditions Initiales : Syntaxe : .PARAM <Var> = <Valeur>


Cette simulation permet d’imposer un potentiel à un nœud en début de simulation par .STEP PARAM <Var> <Loi_Var>
l’intermédiaire de certains composants tels que les capacités, les inductances et les lignes de
transmission. On lève ainsi toute ambiguïté sur l’état de ce nœud, mais elle permet aussi de définir où Var = Variable dont on fait varier la valeur ;
le point de fonctionnement d’un système dans des temps de calcul plus réduits lors de simulations Valeur = Valeur initiale de la variable ;
multiples et de régler d’éventuels problèmes de convergence. Loi_Var = Loi de variation de la variable.
Ces définitions ne sont permises qu’en tension d’une façon relative entre deux nœuds ou
d’une façon absolue entre le nœud et la masse. La commande .IC est la plus employée mais la Exemple : RL 1 2 {RLOAD}
commande .NODESET est tout aussi possible (la différence étant que .NODESET ne force pas de …
niveaux. Elle ne sert qu’à indiquer une valeur initiale préalable à la recherche du point de .PARAM RLOAD 1.5K
fonctionnement). .STEP PARAM RLOAD LIST 10 50 100 400 1K → Cinq
simulations sont effectuées sur la variable RLOAD, définissant la
Syntaxe : .IC V<Nœud(s)> = <Valeur> résistance RL, située entre les nœuds 1 et 2.

Exemple : .IC V(1, 2) = 10V → Tension de 10V imposée dans la branche située  Fonction de transfert :
entre les nœuds 1 et 2 à t = 0s. Par la commande .TF, le calcul de la fonction de transfert en petits signaux est effectué en
.IC V(3) = 3.3V → Tension de 3.3V imposée au nœud 3 à l’instant complément du calcul du point de polarisation du circuit (linéarisation autour du point de
initial. fonctionnement). Celui-ci est effectué dans le domaine statique et ne tient pas compte d’un
éventuel comportement en fréquence. Le gain en petits signaux de la fonction de transfert est alors
Principe : PSPICE définit une source de tension mise en série avec une résistance de 2mΩ pour obtenu en effectuant la dérivée du signal de sortie par rapport à l’entrée. Comme durant l’analyse
chaque nœud caractérisé par des conditions initiales. Cette opération n’est effectuée que fréquentielle, les impédances d’entrée et de sortie peuvent aussi être calculées lors de cette analyse.
lors de la recherche du point de fonctionnement. Le logiciel libère ensuite les nœuds
afin qu’ils puissent prendre toutes les valeurs nécessaires à l’évolution correcte des Syntaxe : .TF <Variable_Sortie> <Générateur>
signaux simulés.
où: Variable_Sortie = Signal de sortie ;
Générateur = Signal d’entrée.

39 40
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

Exemple : .TF V(6) VIN → Calcul de la fonction de transfert par linéarisation


autour du point de fonctionnement, l’entrée étant le signal VIN et le  Analyse de Sensibilité :
signal de sortie étant la tension au nœud 6. Ce type d’analyse permet de quantifier le degré d’influence de chaque composant sur un
signal de sortie donné, autour du point de fonctionnement du circuit. Effectuée suite à la recherche
 Analyse de la distorsion : du point de polarisation, on dérive le signal de sortie en fonction des variations de la valeur des
On peut vouloir qualifier la linéarité de la caractéristique statique d'un circuit. Si cette composants du circuit (capacités, sources de tensions ou de courant indépendantes, certains
caractéristique est linéaire, le système répond à une sinusoïde par une sinusoïde, sinon il introduit modèles de diodes et transistors).
une distorsion et le signal de sortie n'est plus sinusoïdal, mais a acquis des harmoniques. Sous On notera que ce type de simulation s’effectue aussi dans le domaine statique et ne tient
SPICE, la distorsion harmonique est calculée en conjonction avec l’analyse AC. Il calcule les donc pas compte du comportement fréquentiel du montage.
distorsions (résultats des inter-modulations et harmoniques) dues à un signal d'entrée de petite
amplitude. Si les signaux d'entrée du circuit sont à la même fréquence, les valeurs complexes des Syntaxe : .SENS <Variables_Sortie>
deuxième et troisième harmoniques sont déterminées à tous les points du circuit. Si les signaux
d'entrée sont de deux fréquences différentes f1 et f2 (avec f1 > f2), l'analyse retourne les valeurs Exemple : .SENS V(6) → Calcul des sensibilités de la tension au nœud 6.
complexes des variables du circuit aux fréquences f1+ f2, f1- f2 et seconde harmonique de f1- f2.
Une analyse DISTO peut être pratique si le circuit contient des composants linéaires et d'autres En sortie, le fichier texte de résultat nous donne un tableau contenant le nom de l’élément,
non-linéaires de la liste suivante: diodes, BJT, JFET, MOSFET (niveaux 1, 2, 3, 4/BSIM1, la valeur de celui-ci, la variation de la grandeur de sortie en fonction d’une variation unitaire de
5/BSIM2 et 6) et MESFET. l’élément et la variation normalisée à la valeur de l’élément de la grandeur de sortie exprimée en
pourcentage.
Syntaxe : .DISTO (DEC | OCT | LIN) Pts Fstart Fstop <F2overF1>
 Analyse de Bruit :
L’analyse en bruit s’effectue dans le domaine des fréquences. Elle suit donc une simulation
où: (DEC | LIN | OCT), Pts, Fstart et Fstop = paramètres de l'analyse AC AC. Les résistances, les composants actifs et certains amplificateurs sont alors associés à des
pendant laquelle est calculée la distorsion. générateurs de signaux parasites.

Si le paramètre optionnel F2overF1 n'est pas spécifié, SPICE fait une analyse Syntaxe : .NOISE < Noeud> <Source_Bruit> [Intervalle]
harmonique, c'est à dire qu’il analyse la distorsion dans le circuit en utilisant
uniquement la fréquence f1 définie comme pour l’analyse AC. Seront alors où: Nœud = Nœud où on calcule la tension de bruit ;
enregistrées toutes les tensions de tous les nœuds du circuit ; tous les courants de Source_Bruit = Source équivalente de bruit considérée en entrée ;
toutes les branches aux fréquences 2f1, 3f1, tandis que f1 varie (une valeur de 1 Intervalle = Intervalle de fréquence de simulation.
signifie alors cos(2π2f1t) pour la fréquence 2f1 et cos(2π3f1t) pour la fréquence 3f1).
Si par contre F2overF1 est spécifié, il devra être un réel compris entre 0 et 1 (la Exemples : .NOISE V(12) VIN → Analyse de la tension de bruit au nœud 12 en
valeur 0 lui est interdite). Dans ce cas, SPICE fait une analyse spectrale. Il considère considérant VIN comme la source équivalente de bruit en entrée (en
le circuit avec des entrées sinusoïdales à deux fréquences, f1 et f2. f1 est définie dans tension).
la commande .DISTO comme pour une analyse AC, et f2 est fixe et égale à .NOISE V(2) I(R1) → Analyse de la tension de bruit au nœud 2 en
F2overF1*Fstart. Chaque source indépendante du circuit peut avoir deux entrées considérant I(R1) comme la source équivalente de bruit en entrée).
sinusoïdales superposées pour la distorsion aux fréquences f1 et f2. L'amplitude et la
phase du signal de fréquence f1 sont données par les paramètres du mot-clé  Décomposition Harmonique de Fourier :
DISTOF1 de la déclaration de la source ; l'amplitude et la phase du signal de Tout signal périodique peut être décomposé en une série de sinusoïdes. Cette série de
fréquence f2 sont déterminées par les arguments de DISTOF2. SPICE va calculer Fourier permet de faire apparaître la fréquence fondamentale et sa suite d’harmoniques, ainsi que
toutes les tensions à tous les nœuds et les courants dans toutes les branches du les amplitudes respectives de chaque composante. Elle nécessite cependant, au préalable, une
circuit aux fréquences f1+ f2, f1- f2 et 2f1- f2 tandis que f1 varie. Toutefois, si les analyse transitoire.
mots-clés DISTOF1 et DISTOF2, manquent dans la description d'une source
indépendante, alors la source sera supposée ne pas avoir de signal à cette fréquence. Syntaxe : .FOUR <Frq_Fond> <Liste_Noeud>
Les valeurs par défaut de l'amplitude et de la phase sont respectivement 1 et 0 (la .FOUR <Frq_Fond> <Nb_Harmo> <Liste_Noeud>
phase est exprimée en degrés).
où : Frq_Fond = Fréquence fondamentale du signal ;
Nb_Harmo = Nombre d’harmoniques analysées (9 par défaut) ;
Exemple : .DISTO DEC 10 1K 100M 0.9 → SPICE va calculer toutes les
Liste_Noeud Variables d’analyse.
tensions et tous les courants du circuit pour 10 valeurs de f1 entre
1KHz et 100MHz et f2 égal à 0.9KHz aux fréquences f1- f2; f1+ f2 et 2
f1 - f2 .

41 42
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

Exemple : .FOUR 10KHz V(5) I(R1) → Analyse de Fourier par rapport aux o TEMP : Température implicite de simulation du circuit. Par défaut, elle est
variables V(5) et I(R1), pour une fondamentale de 10KHz (ce qui fixée à 27°C.
suppose une analyse temporelle préalable de 1/10KHz, au minimum). o TNOM : Température implicite de caractérisation des modèles. Par défaut, elle
est fixée à 27°C.
1.3.v. Analyses avancées : o BADMOS3 : Utilisation de la première version pour un transistor de niveau 3.
o DEFAD : Valeur implicite de l'aire de drain pour le calcul des capacités de
Ce cours n’étant qu’une initiation à PSPICE, nous ne rentrerons pas dans les détails de ces jonction des transistors MOS. Par défaut, elle est fixée à 0.
différentes analyses. Afin d’avoir plus d’information sur ces différentes analyses, on pourra se o DEFAS : Valeur implicite de l'aire de source pour le calcul des capacités de
référer au chapitre 4 (notamment, les paragraphes 4.8 et 4.9). jonction des transistors MOS. Par défaut, elle est fixée à 0.
o DEFL : Valeur implicite de la longueur des transistors MOS. Par défaut, elle est
 Simulation Min/Max ou Worst-Case : fixée à 100µm.
La simulation pire – cas (commande .WCASE) se propose de fournir la différence la plus o DEFL : Valeur implicite de la largeur des transistors MOS. Par défaut, elle est
grande par rapport au résultat obtenu en utilisant les valeurs nominales des composants (DEV). fixée à 100µm.
Faisant appel à la notion de dispersion sur la valeur et les paramètres d’un composant, elle révèle
l’influence de ceux – ci sur le résultat généré par le circuit. Le résultat est obtenu après une analyse  Les paramètres de contrôle de la méthode de Newton-Raphson :
de sensibilité. o VNTOL : Tolérance absolue pour le critère de la convergence de la différence.
Par défaut, elle est fixée à 1µV.
 Analyse Monte-Carlo : o ABSTOL : Tolérance absolue pour le critère de la convergence résiduel. Par
Cette analyse permet de tenir compte des statistiques de dispersion sur les composants défaut, elle est fixée à 1pA.
(leurs paramètres) et fournit la dispersion engendrée sur les résultats. On obtient alors un gabarit de o RELTOL : Tolérance relative, par défaut elle est fixée à 0.001.
fonctionnement moyen qui peut être comparé à un cahier des charges.
 Les paramètres de contrôle spécifiques à .DC :
 Modélisation comportementales : o ITL1 : Nombre d'itérations maximum pour une analyse statique sur un point.
Ce type de modélisation consiste à modéliser un circuit par sa fonction de transfert (soit un Par défaut, il est fixé à 100.
générateur de courant ou de tension obéissant à une loi de variation décrite suivant un polynôme, o ITL2 : Nombre d'itérations maximum pour une analyse statique sur un
une expression mathématique, un tableau de valeurs, une définition dans le domaine des multipoint (caractéristiques de transfert). Par défaut, il est fixé à 50.
fréquences,…). L’emploi des sources contrôlées peut alors s’avérer des plus utiles (voir avant, les
sources de modélisation comportementales au chapitre 2.4.ii).  Les paramètres de contrôle spécifiques à .TRAN :
o METHOD : méthode d'intégration "gear" ou "trapézoïdal". Par défaut, elle est
 Simulations numériques : fixée à "trapézoïdal".
Sous Microsim, grâce au module Plogic, PSPICE permet aussi la simulation de circuit o CHGTOL : Tolérance absolue pour la variable considérée (charge pour un
mixte (numérique/analogique). Dès lors, les comportements fonctionnel et temporel de systèmes condensateur ou flux pour une inductance) lors du contrôle du pas d'intégration
mixtes sont alors analysables. Il est aussi possible de générer ses propres stimuli. par l'erreur de troncature locale (LTE). Par défaut, elle est fixée à 10-14.
o TRTOL : Facteur de pondération de l'erreur de troncature locale. Par défaut, il
1.3.vi. La directive de simulation .OPTION : est fixé à 7.
o ITL4 : Nombre d'itérations maximum sur un pas d'intégration. Par défaut, il est
 Les paramètres de contrôle d'impression : fixé à 10.
o ACCT: Impression des statistiques de simulation,
o LIST: Impression du fichier de description d'entrée,  Les paramètres de contrôle de la méthode de d'élimination de Gauss :
o NODE: Impression de la table des nœuds du circuit, o PIVTOL : Valeur minimum pour qu'un coefficient puisse être considéré comme
o NOMOD: Suppression de l'impression des paramètres des modèles, pivot. Par défaut, il est fixé à 10-13.
o NOPAGE: Suppression des sauts de page. o PIVREL : rapport entre une valeur acceptable de pivot et le plus grand
coefficient de la colonne correspondante, par défaut il est fixé à 10-3.
 Les paramètres de contrôle des modèles :
Toutes ces options peuvent être annulées pour un composant particulier si la spécification
considérée est explicitement formulée dans les paramètres d'appel du composant. Les principaux
sont :
o GMIN : Conductance minimum implicite. Par défaut, elle est fixée à 10-12.
Cette conductance est placée en parallèle sur toutes les jonctions PN des
composants actifs du circuit.

43 44
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

1.4 – Le module graphique PROBE : 2 – Simulation sous Orcad :


La version Windows employée en TP, MicroSim DesignLab Eval 8 ou Orcad 9.1 version
Permettant la visualisation graphique des résultats de simulation spécifiques à PSPICE, ce
Student , est un logiciel de simulation électronique mixte des plus complet qui permet d’évaluer de
module extrait ces informations du fichier binaire *.DAT. Cette extraction s’effectue sous la
manière très précise les performances d’un circuit électronique, grâce au module PSPICE auquel a
version DOS par l’emploi de la commande .PROBE mise dans le fichier d’entrée.
été adjoint de nombreuses fonctionnalités permettant, en autre, l’optimisation de paramètres, la
La sélection des signaux est faite aux moyens de la commande Trace >> Add Trace et en
création de modèles, la création de circuits imprimées, le routage,…
sélectionnant le signal voulu dans la liste proposée. Il est à noter que les signaux calculés et
Cependant, dans la version d’évaluation employée en TP, certaines limitations sont à noter.
mémorisés sont accessibles par la touche F4 dans le menu Add Trace.
Pour MicroSim DesignLab Eval 8, ces spécifications sont :
Plusieurs courbes peuvent alors être affichées sur un même dessin par la commande Plot
>> Add Y Axis. Des curseurs permettent le relevé aisé des coordonnées de certains points ou la
- Une page de schéma de format A4 ;
différence entre deux points (décrit plus loin).
- 50 symboles par schéma ;
Outre les quatre opérateurs +, -, = et /, un certain nombre d’opérations arithmétiques sont
- 10 bibliothèques de symboles ;
aussi possibles, en voici une liste exhaustive dans le tableau ci-dessous.
- 20 symboles dans votre bibliothèque personnelle ;
- 70 nœuds pour la simulation ;
Fonction Description - 10 transistors ou 65 portes logiques ;
- 10 lignes de transmission idéales mais pas plus de 4 lignes à perte et 4 lignes
couplées ;
ABS(x), M(x) Valeur absolue et magnitude de x - Pour la création de modèle, cette version de démonstration nous limite à la
SGN(x) Signe de x caractérisation des diodes
SQRT(x), EXP(x) Racine carrée et exponentiel de x - Une bibliothèque d’évaluation (eval) comportant plus de 20 composants
LOG(x), LOG10(x) Logarithme népérien et décimal de x analogiques (lire avec un éditeur de texte le fichier eval.lib dans le sous-répertoire
P(x), DB(x) Phase et valeur en décibels de x (20log10(x)) lib pour avoir plus de renseignements) ;
R(x), IM(x) Partie réelle et partie imaginaire de x - Stimuli : Signal sinusoïdal et signal d’horloge ;
G(x) Délai de groupe de x - L’optimisation n’est possible que sur un seul paramètre à la fois.
PWR(x, y) x puissance y
SIN(x), COS(x), TAN(x) Sinus, cosinus et tangente de x Remarque : Il est possible de télécharger une version d'évaluation PSPICE STUDENTS sur
ATAN(x), ARCTAN(x, y) Arc tangente de x et arc tangente de y/x différents sites internet dont :
D(x), S(x) Dérivée et intégrale de x par rapport à l’abscisse http://www.engr.uky.edu/~cathey/pspice061301.html.
AVG(x), AVG(x,d) Valeur moyenne de x, valeur moyenne de x sur l’intervalle (x-d,x)
RMS(x) Racine carrée de la valeur quadratique moyenne de x
MIN(x), MAX(x) Minimum et maximum de x

Exemple : P(V(4)) → Phase du potentiel au nœud 4 ;


DB(V(R(5))) → Amplitude en dB du courant circulant dans
la résistance R.

Un certain nombre de fonctions sont aussi prédéfinies sous forme de macros (détermination
de la bande passante, du temps de montée,…). Nous reviendrons sur cela plus loin.

45 46
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

2.1 - Principe de fonctionnement de PSPICE sous Windows : 2.2 – Ouverture d’un projet sous Design Manager :

La version Windows employée est un logiciel complet dont on peut observer la plate-forme
A l’ouverture d’un nouveau projet, un répertoire au nom du projet est créé dans lequel
à travers la Figure 2.1 ci-dessous.
seront rangés tous les fichiers liés à ce projet. La gestion des applications sous forme de projets
permet une organisation optimale du travail. Toutes les manipulations de fichiers (copie,
Création de stimuli Visualisation graphique Visualisation format texte déplacement, suppression etc.) sont accessibles dans le menu principal de Design Manager.
Programme de base
fichier.stl des résultats de simulation des résultats de simulation
Après avoir cliqué sur l’icône , on obtient la fenêtre de la Figure 2.2. Pour l’ouverture
d’un nouveau projet, cliquer sur le premier icône de gauche . Pour ouvrir un projet existant ou
PDESIGN.EXE STMED.EXE PROBE.EXE TEXTED IT.EXE
le chercher, sélectionner l’icône .
fichier.stl fichier.dat fichier.out
Modélisation composants

PARTS.EXE Edition et saisie de schémas


Simulation Spice
fichier.sch
fichier.cir
Librairies (*.lib et *.slb)

Librairies fournies PSCH ED.EXE PSPICE.EXE


Librairies créées
Librairies importées fichier.pca fichier.opt Saisie de schéma : Schematics
Simulation : PSpice AD
Réalisation Optimisation paramètres Routage : PCBOARD
Routage automatique Affichage des erreurs
circuits imprimés fichier.opt Spice OPTIMIZER
Editeur de PARTS
Editeur graphique : PROBE
SPECCTRA.EXE PCBOARDS.EXE MSGVIEW .EXE OPTIMIZE.EXE
Editeur de STIMULI
Editeur de texte
Figure 2.1 : Les différents modules constituant PSPICE

Remarque : Depuis le rachat d’Orcad par Cadence, un autre module nommé Capture est aussi
présent dans cet environnement. Comment Schematics (avec PSCHED.EXE), il
permet de réaliser la saisie des schémas. Nous ne nous attarderons pas sur ce
module.
Figure 2.2 : La fenètre Design Manager sous Microsim

Pour chaque schéma du projet, un répertoire sera également créé, dans lequel seront sauvegardés
les fichiers ci-dessous :
 Le fichier schéma (.sch) ;
 Les fichiers de sortie de la simulation (.DAT) et les fichiers de sortie après
visualisation sous Probe dans Simulation Output ;
 La liste des librairies utilisées dans System Dependencies ;
 La liste des fichiers créés pendant la simulation rendant l’étude exploitable dans
System Files :
 La liste des alias (nom donné à chaque équipotentielle) : .als ;
 Le fichier circuit pour PSpice : .cir ;
 Le fichier de configuration : .MLV ;
 Le fichier netlist de la saisie de schéma : .net ;

47 48
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

 Le fichier d’impression effectué : .prb. 2.3 – Présentation du menu principal de Schematics :


 Le fichier de configuration de Design Manager : MicroSim Miscellaneous
Files ; Afin de réaliser le schématic d’un circuit que nous voulons simuler, lancer le logiciel à
 Les autres fichiers liés au projet (ex. : clipboard, import, etc.) dans User Files. partir de Démarrer >> DesignLab Eval 8 >> Schematics ou par Démarrer >> DesignLab
Eval 8 >> Design Manager et en cliquant ensuite suite l’icône Schematic se trouvant dans la
partie gauche de la fenêtre de la Figure 2.2.
Comme sur la plupart des logiciels sous Windows, les fenêtres de PSPICE se présentent
avec une barre supérieure et des menus déroulants. Un ensemble d’icônes (paramétrables) sont des
raccourcis pour les commandes les plus courantes. Un nouveau document est ouvert par défaut, il
faut tout d’abord lui donner un nom, pour cela faire : File >> Save as en donnant un nom choisi.
Voici, dans la Figure 2.3, la barre d'outils de Schematics et les fonctions principales
associées aux différents icônes :

Figure 2.3 : Barre d’outils du module Schématics

Dans le tableau ci-dessous, vous trouverez une brève description des différents boutons qui
constituent cette barre d’outils.

49 50
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

2.4– Saisie du schéma à simuler :


Créer un nouveau schéma (création de Editeur de symboles.
répertoires et fichiers).
Ouvrir un schéma existant (la recherche est L’appel des composants se fait généralement par Draw >> Get New Part (ou en cliquant
guidée). SIMULATION
Enregistrer le fichier ouvert courant. Définitions des directives et types d'analyses. sur l’icône adéquat ). Cela affiche une fenêtre permettant la sélection (il existe bien d’autre
Enregistrer (un élément sélectionné ou une Lancement de la simulation.
manière mais celle-ci est la plus commode à utiliser). Dans cette fenêtre, pour rechercher un
sélection d'éléments). composant, on peut :
Imprimer le fichier (ou la sélection). Couleurs des marqueurs (signaux visualisés
sous Probe).
Copier (un élément sélectionner ou une sélection Placement d'un marqueur tension / courant.
d'éléments).
Rafraîchir l'écran. Validation de l'affichage des tensions du
point de départ ou de repos sur le schéma /
suppression de l'affichage.
Couper, supprimer (un élément sélectionné ou
une sélection d'éléments).
Annuler l'action précédente. Validation de l'affichage de courants du
point de départ ou de repos sur le schéma /
suppression de l'affichage.
Répéter l'action précédente.
Zoom moins (diminution en taille des éléments
de la fenêtre courante).
Zoom plus (agrandissement en taille des
éléments de la fenêtre courante).
Zoom de la fenêtre sélectionnée (apparition plein
écran de la fenêtre). ANNOTATIONS
Affichage plein écran du schéma complet.
Tracé de courbe.

DESSIN Tracé de rectangle.

Tracé des fils /des bus. Tracé de cercle.


Figure 2.4 : La fenêtre d’appel des composants
Edition des blocs de sous schémas (la forme Tracé de segment de droite.
courante apparaissant est fiable).
Recherche et capture d'éléments ou symboles Insertion d'images. 1/ Taper le nom du composant si on le connaît avec éventuellement les caractères * ou/et ?. Ainsi,
issus des bibliothèques. si on tape : Part Name = 7*0?, tous les composants dont le nom commence par 7 et qui possèdent
Editeur d'attributs. Insertion d'une fenêtre de texte et choix de la un 0 en avant-dernière position seront affichés ;
police du texte.
2/ Effectuer une recherche descriptive (en anglais bien sûr …) : Advanced >> Description
Search = *invert*, par exemple, listera tous les composants qui possèdent la chaîne « invert »
Pour réaliser une simulation, la méthodologie sous Schematics consiste à : (inverseur) dans leur description.

- Saisir le schéma (le dessiner) ; Attention : Pour avoir de nouveau accès à l’ensemble des composants des librairies, remettre
- Editer les composants du schéma ; ensuite Description Search = * et cliquer sur Search.
- Définir les paramètres de la simulation ;
- Lancer la simulation, puis exploiter les tracés obtenus. 3/ Si le logiciel a déjà été utilisée, les dix derniers composants sont présents dans le menu

Dans ce qui suit, nous allons décrire chacune de ces étapes. déroulant se trouvant entre l’icône de la recherche et capture d'éléments et
l’icône d’éditeur d’attribut. Un simple clic sur l’élément voulu le sélectionne et le place sur le
schéma.
4/ Se promener dans les librairies : Si on clique sur Libraries, la liste et le contenu des
bibliothèques apparaissent. On y trouve :
- ABM.SLB : Blocs fonctionnels (additionneurs, filtres, intégrateur, …) ;
- ANALOG.SLB : Résistances, condensateurs, inductances, lignes, sources contrôlées ;
- BREAKOUT.SLB : Eléments de base pour la génération de modèles particuliers ;
- CONNECT.SLB : Connecteurs ;
- EVAL.SLB : Bibliothèque de composants analogiques et d’éléments digitaux ;

51 52
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2010/2011

- PORT.SLB : Etiquettes d’interconnexions, états logiques 0 et 1 pour la simulation logique


et mixte ;
- SOURCE.SLB et SOURCSTM.SLB : Sources de tension et de courant analogiques, Source de Tension Contrôlée par
générateurs numériques ; une Tension
- SPECIAL.SLB : Eléments de contrôle de la simulation ;

Remarque : Pour les novices, le choix des composants n’est pas toujours trivial, aussi, les
quelques lignes de description (se trouvant dans la Fig. 28) peuvent être d’une
grande utilité.
Source de Courant Contrôlée par
2-4.i. Les composants électroniques de bases : un Courant
Dans la figure 2.5 ci-dessous, vous trouverez la liste des principaux symboles de
composants analogiques et, dans la figure 2.6, le symbole des sources commandées.

Source de Courant Contrôlée par


une Tension

Source de Tension Contrôlée par


un Courant

Figure 2.7 : Symbole des 4 types de sources commandées disponibles.

Concernant les composants numériques, la version de démonstration proposée en TP


possède un assez large échantillon de composants, essentiellement de la famille TTL et même un
exemple de composant logique programmable (PLD et PAL). L’appel des fonctions des divers
composants se fait par leur nom (par exemple, 74xx) et ils sont affichés selon la norme américaine.
Figure 2.6 : Symbole des principaux composants électroniques Toutes les fonctions de base sont implantées : NOT, OR, NOR, AND, NAND, JK, …,
convertisseur A/N, N/A, ROM et RAM, PLD (Simulation à partir de fichier JEDEC)
Ces composants digitaux sont simulés à partir de modèles extrêmement fidèles. En effet,
non seulement les temps de propagation sont pris en compte lors de la simulation, mais également
les caractéristiques d’entrées/sorties (Capacité d’entrée, diodes de clamping, diode Zener,…).
PSPICE étant essentiellement utilisé pour la simulation analogique, nous ne nous étendrons
donc pas plus sur le sujet.

53 54
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio - 2007 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio - 2007

2-4.ii. Les sources :


Source de forme définie par
Comme il a été précisé dans le chapitre précédent, le choix des sources est très segments
important, car il définit indirectement le type d'analyse qui va être effectuée. Voici ci-dessous le Les segments relient (T1,V1) à (T2,
symbole des principales sources de tension employées en fonction du domaine d’analyse V2), puis (T2, V2) à (T3, V3), (T3,
désirée. Pour toutes informations complémentaires sur ces différentes sources, n’hésitez pas à V3) à (T4, V4), …
retourner au niveau de la page 13. Figure 2.11.a
1/ Analyse en continu : VDC 2/ Analyse en fréquence : VAC

Figure 2.8 Figure 2.9


3/ Analyse temporelle : VSIN, VPWL, VEXP, VPULSE.

Figure 2.11.b
Source de forme sinusoïdale
VOFF : tension de décalage
VAMPL : amplitude Source de forme exponentielle
FREQ : fréquence V1 : valeur initiale
TD : temps de retard V2 : valeur maximum
DF : facteur d’amortissement TD1 : temps de début du front montant
PHASE : décalage initial TC1 : constante de temps du front montant
Figure 2.10.a TD2 : temps de début du front descendant
TC2 : constante de temps du front descendant
Figure 2.12.a

Figure 2.10.b
Figure 2.12.b
Remarques : - Dans la fenêtre de la figure 34, les attributs précédés de * ne sont pas
modifiables sous Schematics ; Source de forme
rectangulaire
- TEMPLATE défnit le nom et l’ordre des broches lors de la création de la V1 : valeur du niveau bas
netlist; PARTS est le nom d’appel du composant défini à sa création ; PKGREF V2 : valeur du niveau haut
correspond à la réference du boitier ; avec SIMULATIONONLY, il est possible TD : temps de retard
de spécifier que cette source ne soit pas utilisée pour la simulation et n’existe pas TR : temps de montée
dans la netlist du routage par exemple ; AC et DC fixe la valeur de la source lors TF : temps de descente
PW : temps à l’état haut
des analyses en continu et en alternatif.
Figure 2.13.a PER : période

55 56
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio - 2007 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio - 2007

- Veillez à ce que les options « Include … » (en bas de la fenêtre de la figure 41


par exemple) soient sélectionnés (). Dans le cas contraire, la liste des
paramètres apparaissant est des plus limitée.

Une fois le composant désiré sélectionné, validez Place & Close ou appuyez sur la
touche Entrée. Il suffit ensuite de le placer où on désire à l’aide de la souris : le bouton gauche
permet de placer autant de composants de ce type que l’on veut, le bouton droit permet de sortir
de la fonction de placement. Pour tourner le composant, utilisez Edit >> Rotate ou le
raccourci (CTRL+R). Pour faire un effet miroir, utilisez Edit >> Flip (ou CTRL+F). Il est à
Figure 2.13.b noter que les fonctions ci-dessus sont également valables pour du texte et les fils. Ces
opérations peuvent également se faire sur un groupe de composants en définissant une fenêtre
avec le bouton gauche de la souris.
Pour les simulations numériques, on peut définir comme source logique un stimulus de
Puis, on tracera les liaisons électriques entre composants : pour cela, utiliser le menu
1 bit STM1 . Il est également possible de définir des stimuli de 4, 8 ou 16 bits pour
Draw, puis Wire ou plus simplement l’icône correspondant . Les jonctions se dessinent
des simulations particulières. Ce signal binaire se définit en termes de temps et niveau logique.
alors automatiquement.
Donc, dans la fenêtre d’édition préciser pour chaque ligne notée COMMAND : le temps puis le
niveau logique en laissant un espace entre les deux. Compléter éventuellement la ligne
PKGREF pour modifier sa désignation. Ne compléter la ligne TIMESTEP qu’avec précaution.
Eviter de modifier les autres lignes. De cette manière, il est possible d’éditer n’importe quelle  Tout schéma électronique doit absolument comporter un potentiel de référence 0V (GND
allure de signal binaire non périodique et même un signal d’horloge (périodique). ou ground), qui sera matérialisé par le symbole GND ANALOG ou GND EARTH
On pourra aussi configurer des stimuli particuliers à partir des sources nommés
DIGCLOCK, DIGSTIM et VSTIM. Après avoir placée l’une de ces sources, en double cliquant (bibliothèque PORT.SLB). 
sur le symbole, il est alors possible d’ouvrir l’éditeur graphique de stimuli et d’éditer un
stimulus en complétant les deux fenêtres qui apparaitront successivement (cela ne doit poser
aucun problème). Pensez ensuite à sauvegarder le stimulus que vous venez de générer et
continuez la saisie de votre schéma.

Figure 2.14

Remarques : - Nous n’avons ici présenté que les sources de tension. Dans le cas des sources
de courant (en remplaçant V par I), les champs à compléter sont identiques ;
- Hormis pour VAC et VDC, ces sources sont principalement utilisées en
analyse transitoire. Cependant, si on effectue avec celles-ci une analyse
fréquentielle par exemple, les sources deviennent sinusoïdales et utilisent les
paramètres AC et DC. Ces champs doivent alors impérativement être complétés
au préalable ;

57 58
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio - 2007 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio - 2007

2.5 – Edition des composants : Ce type d’alimentation est très utile lors de la simulation de circuit constitué
d’amplificateur opérationnel, par exemple. Pour la réaliser (cf. Figure 2.15),
A chaque élément est associé des attributs. Il faut ensuite donc éditer les composants. utiliser les nœuds de connexion (Connection bubble dans Part Browser
Comme sous la version DOS, en fonction du type de composant, un ou plusieurs paramètres Advanced) auxquels vous attribuez un nom (par exemple, +VCC). Ainsi tous les
sont à modifier. Par exemple, pour une résistance, R1 désignera sa référence et 1k sa valeur ; nœuds de connexion du montage qui ont ce nom seront affectés à une valeur de
pour un circuit intégré U1A désigne sa référence et 7400 le type de fonction (ici une fonction potentiel (ici 15V).
NAND).
Pour l’édition, deux solutions sont possibles :

1/ Double-clic sur la référence ou la valeur à modifier avoisinant le corps du


composant. Une fenêtre s’ouvre alors, y apporter les modifications nécessaires
(cette solution n’est pas non valable pour les générateurs).
2/ Double-clic sur le corps du composant (son symbole). Dans la fenêtre s’ouvrant,
ne modifier alors que les paramètres voulus, soient, en général, sa valeur (ligne
notée : Value) et éventuellement sa référence (ligne : PKGREF tout en bas de la
fenêtre d'édition).

Remarque : - Attention, dans l’édition de la valeur des composants, ne pas laisse d’espace
entre la valeur et le facteur d’echelle.
- Les paramètres ayant un astérisque * ne peuvent pas être modifiés (choix
effectué au niveau de l’éditeur de composants).
- Ne pas oublier de sauvegarder avec Save Attr après chaque modification.

On édite des connexions (fils, bus) : en faisant un double-clic sur le fil concerné et en lui
affectant un nom (LABEL). L’édition des connexions est des plus conseillée. Cela permet deux
fonctions primordiales :

• Réaliser une éventuelle liaison équipotentielle (fil virtuel), qui remplace certains fils
afin d’éviter des croisements, d’où une meilleur lisibilité ;
• Ces noms donnés sont pris en compte par PROBE, lors de la visualisation graphique
des résultats, ce qui permet de mieux identifier les signaux que l’on veut visualiser
dans la liste proposée.

Dans le cas de fils connectés à un bus, c’est impératif : Ils doivent posséder
le même LABEL. Il est d’ailleurs possible d’automatiser cette édition.
Commencer par double-cliquez sur le fil relié au bus puis Options >>
Auto Naming. Après avoir libellé le premier fil, un appui sur la barre
d’espace sur le second fil, lui éditera son label et incrémentera l’ordre d’un
fil automatiquement.

Remarque : Pour tous les composants l’unité est implicite, mais prenez
l’habitude de les préciser ; par exemple : F (Farad) pour les
condensateurs ; H (Henry) pour les bobines ; s (seconde)
pour l’unité de temps ; ne pas indiquer l’unité des résistances
(Ω : oméga), car le symbole Ω n’existe pas sous la version Figure 2.15 :
Microsim. Exemple de
réalisation
d’une
Exemple : Création d’une alimentation symétrique sous Microsim alimentation
symétrique

59 60
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio - 2007 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio - 2007

2.6 – Structures hiérarchiques et sous-circuits : 2.7 – Simuler et observer les résultats :

Dans le cas de circuits relativement complexes, il est possible d’organiser son schéma 2.7.i. Simuler :
en plusieurs niveaux ou sous-schéma. La création d’un bloc hérarchique se réalise par l’icône
Comme nous l’avons vu dans le chapitre précédent, il existe de nombreux types de
. Après placement et dimensionnement du bloc, les connexions sont réalisées par une simulations, on les configure à l’aide de la fenètre Analysis Setup (cf. Figure 44). Cliquer sur
simple connexion de fils (wire) qui deviennent des modules ports devant être caractérisés. Par
défaut, les connexions placées à droite du bloc sont définies en sortie et les connexions placées Analysis, puis Setup ou directement par l’icône équivalent et sélectionner (cocher ) la ou
à gauche du bloc sont les entrées. les cases qui vous intéresse suivant les performances que vous voulez quantifier. Pour la plupart
Un doucle-clic sur le nom de la connexion que vous venez de réaliser ouvre une fenêtre des applications des travaux pratiques, on utilisera essentiellement les 4 simulations suivantes :
(voir figure ci-dessous) où l’on peut personnaliser le nom de la connexion (visualisable ou non
sur le schéma), ... Le champ ERC définit le type de connexion pour la vérification électrique et 1/ Bias Point Detail : Analyse du point de fonctionnement,
Float caractérise la non-connexion. Le menu navigate dans le menu principal permet de se 2/ DC Sweep : Analyse en continu,
déplacer dans une structure hiérarchique. Un double-clic dans un bloc ou F2 permet de 3/ AC Sweep : Analyse fréquentielle petit signal,
descendre dans la hiérarchie. F3 permet de remonter d’un niveau. 4/ Transient : Analyse temporelle17 (transitoire).
A la première descente d’un niveau, le nom du sous-schéma est demandé. Un fichier
schéma est automatiquement créé sous Design Manager. Lors de la descente dans un sous- Celles-ci seront éventuellement enrichies d’une analyse paramétrique ou/et de conditions
schéma, les modules ports avec les labels correspondants sont créés automatiquement et initiales.
apparaissent en haut à gauche de l’écran. Les entrées sont différenciées des sorties par leur Afin de définir les paramètres de simulation, cliquer dans cette même fenêtre sur le
symbole. bouton de l’analyse sélectionnée. Une fois tous les paramètres indiqués, fermer Analysis Setup
et lancer la simulation par F11 ou le menu Analysis, puis Simulate ou encore directement par
l’icône équivalent .

Figure 2.17 : Fenêtre de choix des analyses à effectuer


Figure 2.16 : Fenêtre de personnalisation des interconnexions
o L’analyse du point de polarisation (Bias Point Detail) est toujours sélectionnée par
défaut. Après cette simulation, afin d’analyser les résultats de l’analyse du point
d’opération, on peut placer des sondes Viewpoint (Voltmètre) et Iprobe

(Ampèremètre) qui permettent d’afficher les tensions et courants continus


aux divers points du circuit18. La commande Analysis >> Examine Output permet

17
Pour l’analyse de circuits numériques, on utilisera généralement l’analyse temporelle. Toutefois, on pourra aussi
enmployer l’analse nommée Digital Setup…, qui est une analyse temporelle spécifique pour les circuits logiques.
On peut, par exemple, sélectionner « Digital Worst-case timing » pour calculer la simulation dans le pire des cas.
18
Icones visibles si dans le menu Analysis, Display Results on Schematics est enable.

61 62
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio - 2007 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio - 2007

d’examiner le fichier texte des résultats. Ce fichier peut sembler un peu obscur au o Pour l’analyse fréquentielle, dévalidez éventuellement, dans la fenêtre
débutant, mais il faut savoir qu’il contient toutes les informations utiles du point de correspondant à la Figure 2.17, les analyses inutiles (Bias Point Detail, DC Sweep)
fonctionnement avec le potentiel de tous les nœuds du circuit, le courant débité par et validez AC Sweep et fixer, le type d'analyse AC (linéaire, par octave, par décade)
les sources, ... et les valeurs données au balayage en fréquence de la source (valeur initiale, valeur
finale, nombre de points de mesure : 101 points par décade est un bon compromis
Remarque : Si les sources décrites dans le fichier d’entrée ne possèdent aucun entre précision de la simulation et temps de calcul).
paramètre DC, le logiciel n’effectuera pas l’analyse et nous
indiquera une erreur.

Figure 2.19 : Fenêtre de paramétrage de l’analyse AC Sweep (et bruit)

Outre le tracé habituel des tensions et courants en fonction de la fréquence, sous


Figure 2.18 : Fenêtre de paramétrage de l’analyse DC Sweep PROBE, il est alors aussi possible d’obtenir des tracés des plus intéressant tels que
la transmittance en tapant V(Vs)/V(Ve). A l’aide des deux curseurs il est alors aisé
o Pour l’analyse DC, cliquer sur DC Sweep dans la fenêtre de la Figure 2.17. Si vous de repérer les fréquences de coupure et d’en déduire la bande passante à -3dB (la
cherchez par exemple à voir l’évolution de la tension de sortir en fonction de la fenêtre des curseurs affiche les deux coordonnées et leurs différences). Il existe
tension d’entrée, choisir la source à faire varier en indiquant son nom (dans la aussi des macros calculant automatiquement un certain nombre de paramètres : en
Figure 2.18, il s’agit de v1). Fixer les valeurs données à cette source (valeur initiale, faisant Trace >> Eval Goal Function >> Bandwidth(V(vs)/V(ve),-3) on évalue
valeur finale, pas de progression). la bande passante à -3dB. On dispose ainsi de toute une série de macros fort utiles
Dans le cas où une seconde source est choisie cliquer dans Nested Sweep pour fixer (temps de montée, temps de réponse, ...). Si on veut le diagramme de Bode complet,
les paramètres de cette source (valeur initiale, valeur finale, pas de progression). Ne on peut aussi tracer la phase simplement sur un autre graphe, pour cela faire Plot
pas oublier d'activer Enable Nested Sweep pour rendre la seconde source active. >> Add Plot puis demander de tracer P(V(Vs)) par exemple.
Dès que PSPICE a terminé, il lance PROBE avec en abscisse la source continue qui
a varié (v1 dans notre cas). Son utilisation est assez ergonomique et ne devrait pas Remarque : La liste des Macro de calcul de fonctions (DB, valeur absolue,
poser spécialement de problème. Si on désire, par exemple, afficher les tensions ...) apparaît dans la fenêtre Add Traces de PROBE, cette liste
nommées Vref et Vs en fonction de cette tension d’alimentation, voilà la méthode à permettant de calculer de nombreux paramètres est accessible
employer : Faites Trace >> Add et sélectionnez V(Vref) (ou tapez-le dans le menu Trace >> Goal Functions. On notera que l’on
manuellement), faire de même avec V(Vs). peut assez facilement éditer, modifier ou créer une macro (voir
Si l’on désire ensuite examiner des points précis, on peut utiliser le curseur à l’aide 3.1 pour la création de macro).
de Tools >> Cursor >> Display ou de l’icône correspondant , ce qui permet
de déplacer deux curseurs sur une ou plusieurs courbes. A cet effet, il faut tout
d’abord sélectionner la courbe sur la quelle on veut déplacer le curseur (en cliquant-
gauche sur sa légende en bas à gauche). On peut ensuite déplacer les curseurs en
utilisant les boutons gauche et droit de la souris ou les flèches du clavier (avec ou
sans Shift).

63 64
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio - 2007 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio - 2007

Figure 2.19 : Fenêtre de définition pour une analyse paramétrique

o En complément des dires du chapitre précédent, l’imposition de conditions initiales


s’avère des plus utiles pour l’étude de certains oscillateurs. En effet, avant toute
analyse, PSPICE effectue une simulation du point de fonctionnement stable et il
débute donc l’analyse en supposant le circuit dans ce point de fonctionnement. A
cause de ce principe, les oscillateurs peuvent alors ne jamais démarrer. Cette
Figure 2.18 : Fenêtre de paramétrage de l’analyse temporelle remarque est des plus valable pour l’astable à 2 transistors et 2 condensateurs : dans
la réalité, les tensions de bruit font qu’une des capacités est initialement à un
o L’analyse temporelle (Transient) du fonctionnement d’un circuit est la seule analyse potentiel différent de l’autre or PSPICE les placera au même potentiel au démarrage,
valable pour observer un signal de sortie (voir s’il n’y a pas saturation,...). Il faut ce qui empêchera les oscillations de débuter. Pour remédier à cet inconvénient,
tout d’abord choisir la durée d'analyse (Final Time), puis le pas d'impression (Print l’astuce consiste à déclarer qu’une des capacités est initialement chargée en double-
Step) et le pas de calcul (Step Ceiling). Ce dernier paramètre est important car cliquant sur la capacité concernée et en déclarant la tension initiale dans IC= …
sinon PSPICE choisit lui-même un pas de calcul (une valeur de un pour cent à un (Initial Condition). On doit ensuite demander une analyse transitoire en tenant
pour mille de la durée d'analyse est une valeur raisonnable). PSPICE stockera alors compte des conditions initiales (valider Skip initial transient solution dans le
les résultats avec un pas temporel Print Step, et pour t ∈ [No-Print Delay, Final Setup de Transient). La simulation est alors correcte mais évitez tout de même de
Time]. considérer les 1ers instants de la simulation où l’effet des conditions initiales influe
Dans le cas où l'on souhaite visualiser le spectre en fréquence, alors rendre l'analyse sur les signaux observés.
de Fourrier active. Toutefois, sous PROBE, on peut aussi demander la transformée
de Fourier (à l’aide de Trace >> Fourier ou de l’icône correspondante ). On
observe alors la transformée rapide de Fourier (FFT).

o Si l’on veut étudier le comportement d’un circuit avec un composant dont la valeur
varie, il faut le déclarer en paramètre (analyse paramétrique). A cet effet, au lieu de
lui donner une valeur (champ VALUE), donnez un nom de variable arbitraire entre
accolades, par exemple {RVAR} si c’est la valeur d’une résistance qu’il faut
chercher à optimiser. Insérez ensuite le composant PARAM et déclarez, après avoir
double-cliqué dessus, les champs NAME1 = RVAR et VALUE1 = la valeur par
défaut de la résistance. Pour réaliser une simulation en fonction de ce paramètre,
faites Analysis >> Setup >> Parametric (ou cliquer sur l'icône Paramètres de
l'analyse) et déclarez la variable RVAR en paramètre global (Global Parameter).
Choisissez les variations désirées (Linéaire, par Octave, par Décade, ou une liste de
valeurs) et enfin le type général d’analyse (Cf. Figures 2.19 et 2.20).
Après la simulation, on peut ensuite afficher, sous PROBE, les différentes réponses
pour les différentes valeurs du paramètre. En double-cliquant sur le symbole (en bas Figure 2.18 : Fenêtre de paramétrage de l’analyse paramétrique
à gauche) de chaque courbe, on peut entre autre avoir la valeur du paramètre pour la
courbe.

65 66
S.Feruglio - 2007 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

Dans le cas où un (des) marqueur(s) a (ont) été placé(s) sur le dessin , la courbe
s'affiche automatiquement. Dans le cas où cela n’a pas été fait ou pour afficher une nouvelle
courbe cliquer sur l’icône d’ajout de courbes ou aller dans le menu Trace >> Add Trace et
choisir le signal désirée dans la liste de droite de la Figure 2.22.
Une fois la simulation lancée, le tracé de courbes suit grâce au module PROBE. En voici la barre des taches :

Figure 2.21 : Barre d’outils du module PROBE


Figure 2.22 : Fenêtre d’ajout de résultats sous forme graphique

A partir de cette même fenêtre, on peut afficher toutes sortes de courbes. Les tensions et
les courants mais aussi des combinaisons mathématiques de ces fonctions grâce aux macros se
trouvant dans la partie gauche de la figure 2.22.
Pour fixer les caractéristiques des axes abscisses et ordonnées, aller dans le menu Plot >>
Axis Settings. Pour l'axe X, on peut modifier l'échelle ou l'affichage (linéaire ou logarithmique)
ou encore choisir la variable de l'axe X. Pour cela, cliquer Axis Variable et faire son choix. Pour
Initialisation à la simulation de circuits intégrés analogiques et numériques

l'axe Y (ou les axes), on peut modifier l'échelle ou l'affichage (linéaire ou en dB).
D’autre part, si l'on souhaite avoir deux courbes avec un seul axe Y, aller dans le menu Trace >>
Add Trace. Si l'on souhaite avoir deux courbes avec deux axes Y sur le même axe Y, aller dans
le menu Plot >> Add Y Axis.
On pourra par la suite supprimer cet axe en allant dans le menu Plot >> Delete Y Axis).
2.7.ii. Observer les résultats de simulation :

Si l'on souhaite avoir deux figures distinctes, aller dans le menu Plot >> Add Plot to Window.
Puis ajouter la courbe voulue.
S’il y a plusieurs courbes (mode paramétrage), on peut passer d'une courbe à l'autre cliquer-
gauche sur la légende correspondante en bas à gauche.
Enfin, on rappellera qu’il y a deux curseurs. On passe de l'un à l'autre en cliquant la souris à
droite ou à gauche.
Les courbes peuvent être imprimées ou sauvegardées à l’aide respectivement de File >> Print et
de Tools >> Display Control. On peut aussi insérer les graphes (ou les schémas) dans un autre
document (Un document Word par exemple) à l’aide de Tools >> Copy to Clipboard puis en
faisant Edition >> Coller (sous Word). Cependant l’image est enregistrée en point par point, ce
qui occupe beaucoup de place et il faut modifier la couleur du fond (noir par défaut). On lui
préférera généralement la fonction Impression d’écran.

68
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

simulation. Ouvrir ensuite Windows >> Display Control, cliquer sur Load, cliquer sur le nom
Pour illustrer l’utilisation de tout cela, on veut tracer le diagramme de Nyquist (partie du fichier d’extension *.prb sauvé précédemment puis sur Ouvrir. Cliquer à nouveau sur le nom
imaginaire de la fonction de transfert en fonction de sa partie réelle) du filtre ci-dessous. du fichier pour qu’il apparaisse dans la fenêtre New Name, cliquer enfin sur Restore : le nouveau
tracé de Nyquist apparaît.

Figure 2.23 : Exemple d’un circuit triple RC

Après avoir dessiné et simulé le schéma (AC Sweep), on observe la fenêtre Probe vide.
Ouvrons Trace >> Add et choisissons les fonctions R() et IMG() auxquelles on attribuera
l’argument V(Sf). Modifions l’axe des abscisses et son échelle (Plot >> XAxis Settings …) pour
observer le diagramme de Nyquist.

Figure 2.24 : Exemple de résultat obtenu avec PROBE

Pour éviter d’avoir à répéter ces différentes opérations chaque fois que l’on effectue la
simulation, on peut les sauvegarder en ouvrant Windows >> Display Control : un fichier
d’extension *.prb est créé. Donner un nom au fichier (par exemple le même que celui du fichier
de simulation) et cliquer sur Save. Fermer Probe, supprimer la dernière cellule RC en conservant
Sf comme label de sortie. Sauver le nouveau schéma sous un autre nom avant de lancer la

69 70
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

2.8 – Simuler à partir d’un fichier d’entrée .CIR :


Voici la procédure à effectuer dans le cas où vous utilisez la version 8.0 de Microsim.
Dans le cas où vous utilisez une version plus récente de PSpice, la procédure peut être légèrement
différent mais elle est tout à fait réalisable sur le même principe (voir fin du paragraphe).
A partir de la fenêtre DesignLab Design Manager, cliquer sur l’onglet Run TextEdit (Cf.
figure 2.2, p. 47) de manière à lancer l’éditeur de texte et saisir la description du circuit à simuler
(ne pas oublier le .PROBE à la fin de votre fichier .CIR). Par exemple : Courant d’une diode en
fonction de la polarisation à ses bornes pour deux températures Figure 2.26 : Fenêtre du module PspiceAD

Il faut maintenant visualiser les résultats de votre simulation à travers le module PROBE.
Pour cela, toujours à partir de la fenêtre DesignLab Design Manager, cliquer sur l’icône Run
Probe et, dans la nouvelle fenêtre, faire File >> Open et charger le fichier de résultat qui a pour
extension .DAT et qui porte le même nom que celui que vous avez donné à votre fichier .CIR.
Puis, faire Trace >> Add… et sélectionner dans la liste de gauche les variables (courants,
tensions ou autres) que vous désirez observer.
Dans le cas où vous utiliser une version plus récente de PSpice (9.2 par exemple) à ce
moment là, tout ce fait à partir du module PSpice A/D par l’icône Run PSpice dans le DesignLab
Design Manager. L’éditeur de texte est inclut dans cette interface. Faire File >> New >> Text
Figure 2.25 : Exemple d’un circuit à diode File, écrire votre fichier d’entrée, puis le sauvegarder à l’endroit qu’il faut avec l’extension .CIR.
Par mesure de précaution, faire View >> Circuit File (dans le cas où vous voulez ouvrir un
ID vs VD pour 2 Temp fichier déjà existant, le fait de faire File >> Open … charge le fichier en question mais ne le fait
V1 1 0 DC 0.5 pas nécessairement apparaître. Il est alors indispensable de faire la procédure que je viens de vous
* on impose par défaut une valeur à V1 mais qui est modifiée par la suite proposer afin de pouvoir visualiser le fichier .CIR en question). Puis, lancer la simulation comme
R1 1 2 1 suit : Simulation >> Run … La simulation se lance alors et vous pouvez visualiser vos résultats
D1 2 0 MD en cliquant sur l’icône à gauche View Simulation Results.
* Diode nommée D1 et faisant appel à un modèle nommé MD
.MODEL MD D IS=100pA n=1.679
* on change 2 des paramètres du modèle de la diode
.DC V1 -1 1 5m
* Analyse DC : on fait varier la source de tension continue V1 de -1V à +1V par pas de 5mV
.TEMP -15 +37
* Analyse DC se faisant pour deux températures : -15°C et 37°C
.PROBE
* Permet l'affichage sous le module PROBE
.END

Une fois la saisie effectuer, sauvegarder votre fichier avec un nom judicieux et aller de
nouveau dans la fenêtre DesignLab Design Manager. Cliquer sur l’icône Run PSPICE A/D et,
dans la nouvelle fenêtre apparaissant (nommée PSPICE A/D), charger le fichier .CIR que vous
venez que créer par File >> Open... La simulation de votre circuit est alors lancée et la fenêtre ci-
dessous doit apparaître (un autre fenêtre apparaît vous indiquant les éventuelles erreurs).

Figure 2.28 : Fenêtre de résultat obtenu à partir de PSpice AD (équivalent du module


PROBE)

71 72
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

3 – Les fonctions avancées :

Cette partie concerne des aspects plus avancés de PSPICE auquel le concepteur se voit
confronter dans son travail de tous les jours. Pour le novice, il sera préférable de passer
directement à la partie 4 afin de bien maîtriser les concepts de base et revenir à cette partie
ensuite.
D’autre part, il est à noter que suivant la version de PSPICE utilisée, il est possible que la
procédure décrite dans ce qui suit pour la réalisation de certaines des fonctions soit légèrement
sur votre version que vous allez employer.

3.1. Création d’une macro-fonction :

Certaines fonctions, dont on se sert souvent, ne sont pas définies dans PROBE. Il est
possible dans ce cas, de créer des macro-fonctions.
Par exemple, le gain d’un quadripôle n’étant pas défini, il est commode d’introduire une
macro-fonction qui lui est dédiée. Pour cela, ouvrir Trace >> Macros et définir le gain de la
manière suivante : Figure 3.2 : Fenêtre d’ajout de résultat sous forma graphique, avec à droite les macros
diponibles

Figure 3.1 : Fenêtre des macros

Le choix des termes S, E et Gain est personnel. En revanche, DB(…) est associé au Marker
destiné à la mesure d’une tension en décibels. On sauvegardera la macro en cliquant sur Save
pour pouvoir en disposer dans toutes les applications ou sur Save To pour l’associer à une seule
application. Les variables E et S seront remplacées par les variables de l’application en cours lors
de l’appel de la macro-fonction.
Par exemple, si l’entrée et la sortie d’un filtre s’appellent respectivement EF et SF, pour
en mesurer et afficher le gain, on ouvrira Trace >> Add et l’on précisera les arguments du gain
comme indiqué ci-dessous. Le gain du filtre sera tracé dès que l’on aura cliqué sur OK.

73 74
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

3.2. Les "Goal Functions" :


Les "Goal Functions" sont des fonctions prédéfinies ou que l'on peut créer soit même en
fonction de ses besoins.
Pour illustrer comment les employer, prenons un exemple : On se propose de mesurer le
temps de montée de signaux créés précédemment. Après simulation, dans PROBE, pour accéder
aux "Goal Functions", on ouvrira Trace >> Eval Goal Function. Dans Functions or Macros
sélectionner Goal Functions. La liste des Goal Functions s'affiche à droite dans la Figure 3.3.
Cliquer sur Risetime(1). Risetime() s'inscrit dans la fenêtre Trace Expression. Cliquer sur V(S)
puis sur OK.

Figure 3.5 : Edition d’une Goal Function

Tout ce qui est précédé d'un astérisque


est un commentaire. Les lignes utiles
sont donc la première et les dernières.
Commençons par analyser l'avant
dernière ligne : il s'agit de rechercher la
valeur de x, x1 pour laquelle la valeur
instantanée du signal représente 10% de
son amplitude sur une pente positive (p).
Figure 3.3 : Fenêtre des Goal Functions La dernière ligne est identique à la
précédente au pourcentage près, quant à
Aux courbes se superpose la fenêtre de la figure 3.4 qui donne la valeur numérique du temps de la première, elle définit le temps de
montée du signal de sortie V(S) de 10% à 90%. On pourra comparer éventuellement cette valeur montée comme étant la différence des
à celle obtenue en utilisant les deux curseurs. deux temps appelés x1 et x2.
Cette fonction ne s'applique qu'aux signaux ne présentant Cette "Goal Function", comme
pas de dépassement. Dans le cas contraire on utilisera la fonction les autres est sauvegardée dans le fichier
GenRise. msim.prb dans le sous-répertoire
Common. Ouvrons ce fichier avec un
Avant de créer une "Goal Function", il serait bon de voir éditeur de texte quelconque (l’éditeur de
comment elles sont constituées : Dans PROBE, ouvrir Trace >> texte de DesignLab DesignManager ou
Goal Functions et choisir Risetime dans la liste puis cliquer sur le bloc-notes, par exemple). Nous
Figure 3.4 : Exemple de Edit (ou View car nous ne la modifierons pas). La fenêtre constatons que les "goal functions" sont Figure 3.6 : Goal Functions disponibles
résultat obtenu décrivant la fonction temps de montée s'ouvre : classées par catégorie pour les retrouver
plus facilement.

Pour créer notre propre "Goal Function", il suffit d'écrire un texte ASCII en respectant la
syntaxe imposée. On peut l’écrire directement dans l’éditeur de texte mais on préfèrera la créer
dans PROBE.

75 76
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

On se propose de créer une "Goal Function" capable de mesurer le temps de réponse à 5% • other file pour choisir le nom du fichier.
d'un signal ne présentant pas de dépassement que nous l'appellerons Tr5. Fermons l’éditeur de
texte et retournons à PROBE. Ouvrir Trace >> Goal Functions. Cliquer sur New. Compléter la fenêtre d’édition de la fonction (cf. Figure 3.8). Les commentaires sont facultatifs
mais aident l'utilisateur à comprendre la fonction réalisée. Les commentaires précédés de #Desc#
apparaissent lorsque l’on effectue une analyse de performances. Cliquer sur OK. La "Goal
Function" est maintenant disponible.
Toutefois, lorsqu'on crée une "Goal Function" proche d'une autre dans sa description, on
peut partir de la fonction et procéder par copie et modification du texte. Ainsi pour créer Tr5, on
peut partir de Risetime. Pour cela :

• dans PROBE ouvrir Trace >> Goal Functions ;


• cliquer sur Copy ;
• donner un nom à la nouvelle "Goal Function" ;
• cocher la case use local file.

Figure 3.7 : Fenêtre pour la création d’une Goal Function

Figure 3.9 : Fenêtre de copie d’une Goal Function

Risetime est recopiée sous le nom Tr5. Cliquer maintenant sur Tr5 et sur Edit pour modifier le
texte. Terminer en cliquant sur OK.
Créons maintenant une "Goal Function", que nous appellerons Tr5u, capable de mesurer
le temps de réponse à 5% d’un signal avec ou sans dépassement. On procédera de la même
manière que précédemment et l’on complètera la fenêtre d’édition de la manière suivante :

Tr5u(1) =x1
*
*#Desc#* Temps de réponse à 5 % près d'un signal avec ou sans dépassement
*#Desc#* L'argument de Tr5u doit être égal à : abs(V(S)/V(Sinfini)-1)
*
*#Arg#* abs(V(S)/V(Sinfini)-1)
Figure 3.8 : Fenêtre d’édition de la Goal function *
{
Dans la fenêtre de la Figure 3.7, taper le nom de la Goal Function : Tr5 et sélectionner : 1| Search backward/end/level(0.05) !1;
}
• use local file pour qu’elle soit attachée uniquement au fichier actuel et sauvegardée dans
un fichier de même nom et d’extension prb ; Vous pourrez tester ces différentes "Goal Functions" à partir des circuits RC et RLC décrits dans
• use global file pour qu’elle soit utilisable dans toute application ; le chapitre 4 et comparer les résultats aux mesures effectuées à l’aide des curseurs.

77 78
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

3.3. Analyse des performances : 3.4. Fichiers de commande PROBE :


Lorsque l’on effectue une analyse paramétrique d’un système, on peut étudier l’influence Certaines commandes doivent être répétées systématiquement lorsqu’on travaille sous
d’un paramètre sur l’une des caractéristiques du système : bande passante, temps de montée,… PROBE. Par exemple, lors de tracés de diagrammes de Bode, si l’on veut séparer le gain et
Pour cela, on utilise les "Goal Functions" qui existent ou que l’on a créées pour l’occasion. l’argument sur l’écran il faut effectuer cinq commandes.
Voyons par exemple l’influence de la résistance du circuit RLC de la Figure 4.16 au Pour s’affranchir de ces tâches fastidieuses, on peut enregistrer la séquence de
paragraphe 4.4 sur le temps de réponse à 5% du circuit. Ouvrons Trace >> Analysis commandes en ouvrant File >> Logs Command et la relancer ultérieurement en ouvrant File >>
Performance, cliquons sur Wizard et laissons nous guider par l’assistant. Choisissons Tr5u Run Command.
(créée dans le chapitre précédent). A titre d’exemple, prenons le circuit RC en régime harmonique de la figure 80 au paragraphe
4.3 et lançons la simulation. Ensuite, sous PROBE, faire :

• Faire File >> Logs Command et donner un nom au fichier de commande, par
exemple G_et_Phi ;
• Faire Plot >> Add Plot pour ajouter un graphe ;
• Faire Trace >> Add ;
• Taper P(S) dans la boite de texte Trace Expression et cliquer sur OK ;
• Dans l’autre graphe, taper DB(S) dans la boite de texte Trace Expression et cliquer sur
OK ;
• Ouvrir File >> Logs Command.

La séquence de commande placée entre la première et la dernière ligne est maintenant


enregistrée. Sortons de PROBE et relançons la simulation. Pour séparer les courbes de gain et
d’argument, il suffit maintenant d’ouvrir File >> Run Command et de choisir le fichier de
commande précédemment enregistré.
L’avantage de cette méthode est qu’elle peut être utilisée avec d’autres circuits simulés.
Figure 3.10 : Fenêtre didactique pour l’analyse des performances Quel que soit le circuit simulé, si les grandeurs DB(S) et P(S) sont définies, le fait d’ouvrir File
>> Run Command séparera les courbes de gain et d’argument.
Dans Name of trace to search, tapons abs(V(S)/10-1) et cliquons sur Next. Une première
fenêtre donnant le temps de réponse à 5% en fonction du temps s’affiche. La dernière fenêtre
représente le temps de réponse en fonction de la résistance R. On remarque qu’il passe par un
minimum.
En modifiant les valeurs de R, (en utilisant un balayage linéaire par exemple), on peut
L
montrer que ce minimum a lieu pour un coefficient d’amortissement m ≈ 0,7 soit R = 2m ≈
C
335Ω.

79 80
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

3.5. Modifier le symbole d’un composant :


Sous Schematics, le symbole d'origine de la résistance (zig-zag au niveau international) a
été remplacé par le symbole normalisé en France (rectangle). Afin de corriger cela, voici la
procédure :

Dans Schematics ouvrir File >> Edit Library ou cliquer sur l'icône .

Répondre OK à la fenêtre qui s'ouvre


(On peut également cocher la case :
"Don't show this dialog again" afin que
cette fenêtre ne s'ouvre plus dans le
futur). On se trouve alors dans l'éditeur
Figure 3.13 : Fenêtre de définition l’affichage
de symbole.
Faire alors File >> Open ou cliquer sur
et choisir la bibliothèque dans
laquelle se trouve le symbole. Dans le Cliquer sur Graphics >> Box ou bien cliquer sur l'icône . Un
cas présent, on choisit la bibliothèque crayon apparaît, cliquer avec sa pointe dans un coin du rectangle
Figure 3.11
Analog et on clique sur Ouvrir. Ouvrir que l'on veut dessiner, puis dans le coin diagonalement opposé :
Part >> Get ou cliquer sur l’icône
un rectangle est alors dessiné. Cliquer sur l'icône (ou bien
et choisir dans la liste R puis cliquer sur Edit. ouvrir Part >> Save to Library).
Sélectionner avec la souris le zigzag et appuyer sur la touche Suppr pour le supprimer. Figure 3.14 : Nouveau On pourra faire de même pour tous les autres symboles.
symbole de la résistance

Figure 3.12 : Fenêtre de réalisation des symboles

Sélectionner Options >> Display Options et remplacer, le cas échéant, la valeur actuelle de
Grid Spacing par 00.05 puis cliquer sur OK.

81 82
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

3.6. Importer des modèles extérieurs :


*******************************************************************
Les modèles peuvent être fournis par les fabricants de composants. Pour éviter d'utiliser * *
des bibliothèques volumineuses, on commencera par créer un fichier d'extension .lib dans lequel * AOP *
on placera les modèles de composants dont nous avons besoin. * *
Ce fichier ASCII peut être créé rapidement à partir d'un éditeur de texte, en utilisant le *****************************************************************
"copier-coller" entre les documents fournis par le fabricant et notre fichier que l'on nommera ici *****************************************************************
MaBibli.lib et que l'on ajoutera aux fichiers .lib existants (dans le sous-répertoire LIB) .
On se propose d'ajouter deux modèles : * TL081 operational amplifier "macromodel" subcircuit
* connections: non-inverting input
• celui d'une diode zener 9,1V de type 1N757 ; * | inverting input
• celui d'un amplificateur opérationnel de type TL081. * | | positive power supply
* | | | negative power supply
Notre fichier MaBibli.lib aura donc l'allure ci-dessous (les commentaires étant précédés d'un * | | | | output
astérisque : * |||||
.subckt TL081 1 2 3 4 5
***************************************************************** *
************************** MaBibli ************************** c1 11 12 3.498E-12
***************************************************************** c2 6 7 15.00E-12
dc 5 53 dx
de 54 5 dx
dlp 90 91 dx
dln 92 90 dx
***************************************************************** dp 4 3 dx
* * egnd1 98 0 3 0 0.500000
* Diodes Zener * egnd2 99 98 4 0 0.500000
* * fb1 7 99 vb 4715000.000000
***************************************************************** fb2 7 99 vc -5000000.000000
***************************************************************** fb3 7 99 ve 5000000.000000
.model D1N757 D(Is=2.453f Rs=2.9 Ikf=0 N=1 Xti=3 Eg=1.11 Cjo=78p + M=.4399 fb4 7 99 vlp 5000000.000000
Vj=.75 Fc=.5 Isr=1.762n Nr=2 Bv=9.1 Ibv=.48516 fb5 7 99 vln -5000000.000000
+ Nbv=.7022 Ibvl=1m Nbvl=.13785 Tbv1=604.396u) ga 6 0 11 12 282.8E-6
* Motorola pid=1N757 case=DO-35 gcm 0 6 10 99 8.942E-9
* 89-9-18 gjg iss 3 10 dc 195.0E-6
* Vz=9.1 @ 20mA, Zz=21 @ 1mA, Zz=7.25 @ 5mA, Zz=2.7 @ 20mA hlim 90 0 vlim 1K
***************************************************************** j1 11 2 10 jx
j2 12 1 10 jx
r2 6 9 100.0E3
rd1 4 11 3.536E3
rd2 4 12 3.536E3
ro1 8 5 150
ro2 7 99 150
rp 3 4 2.143E3
rss 10 99 1.026E6
vb 9 0 dc 0
vc 3 53 dc 2.200
ve 54 4 dc 2.200
vlim 7 8 dc 0
vlp 91 0 dc 25
vln 0 92 dc 25

83 84
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

.model dx D(Is=800.0E-18)
.model jx PJF(Is=15.00E-12 Beta=270.1E-6 Vto=-1)
.ends

*****************************************************************

Pour pouvoir utiliser notre bibliothèque il faut ouvrir Analysis >> Library and Include
Files et taper MaBibli.lib dans la fenêtre File Name puis cliquer sur Add Library* et OK :
notre nouvelle bibliothèque est maintenant accessible à toutes les applications.

3.6.i. Ajout du modèle de la diode 1N757 :

a) Depuis Schematics ouvrir File >> Edit Library ou cliquer sur l'icône . On se trouve
alors dans l'éditeur de symbole.
b) Ouvrir Part >> Copy, cliquer sur Select Lib et choisir une bibliothèque dans laquelle un
modèle de diode est déjà existant (c'est le cas de la bibliothèque Eval ou diode). Cliquer sur
Ouvrir. Cliquer, dans la fenêtre Part, sur la diode D1N750 (de la même famille que la
1N757), dans la boite de dialogue Part.
Remplacer D1N750 par D1N757 dans la case New Part Name et cliquer sur OK, le symbole Figure 3.16 : Attribut du modèle de diode sélectionné
de la diode 1N757 apparaît alors à l'écran.
c) Ouvrir Part >> Attributes (cf. Figure 3.16), cliquer successivement sur Part, Model et d) Ouvrir File >> Save as, dans la fenêtre du Nom, taper MaBibli et cliquer sur Enregistrer
Component en remplaçant dans la fenêtre Value D1N750 par D1N757, et valider la (répondre "oui" à la demande d'ajout aux bibliothèques présentes) : un fichier MaBibli.slb est
modification en cliquant sur Save Attr. Terminer en cliquant sur OK. alors créé. Avec une version évaluation de Pspice 7.1, il est composé ainsi (les versions plus
récentes comme la version 9.2 donnent généralement le même résultat) :

*version 7.1 1625318918


@index
symloc D1N757 0 490
*symbol D1N757
d zener diode
@type p
@attributes
a 0 u 0 0 0 0 hln 100 value=
a 0 sp 11 0 13 31 hln 100 PART=D1N757
a 0 sp 0 0 15 25 hln 100 MODEL=D1N757
Figure 3.15 : Copie d’un modèle de diode a 0 s 0 0 15 25 hln 100 COMPONENT=1N757
a 0 s 9 0 15 0 hln 100 refdes=D?
a 1 sp 0 0 0 0 hln 100 template=D^@refdes %1 %2 @MODEL ?value/@value/
@pins
p 0 5 10 hln 100 1 n 0 10 h
p 0 25 10 hln 100 2 n 30 10 u
@graphics 30 20 0 10 10
v 0 10 5
10 15
;
v 0 10 15
20 10
;
v 0 20 10
10 5

85 86
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

; OK. Model doit avoir exactement le même nom du composant défini dans le fichier .lib (ici
v 0 20 5 MaBibli.lib), à la suite de .SUBCKT.
20 15 d) Faire File >> Save.
;
v 0 10 10
20 10
;
v 0 20 15
18 15
;

3.6.ii. Ajout du modèle de l’AOP TL081 :

Pour ajouter le modèle associé au TL081, on part de l'AOP de type 741. Si l'on se place
dans l'éditeur de symbole (depuis Schematics ouvrir File >> Edit Library) et que l'on ouvre la
bibliothèque Eval (ouvrir File >> Open sélectionner Eval ou opamp et cliquer sur Ouvrir), on
peut lire le contenu de cette bibliothèque en ouvrant Part >> Get. On constate que l'AOP de type
741 se trouve à deux endroits, tout d'abord sous la forme uA741 (AKO 741/OP) puis à la fin de
la liste, 741/OP Le premier est une sorte de composant (A Kind Of Part : AKO Part) qui hérite
du composant de base mais auquel des attributs peuvent se substituer ou s'ajouter (un AKO ne
pouvant faire référence qu'à un composant de base de sa propre bibliothèque). Le second est un
composant de base (Base Part) qui contient des informations graphiques concernant le symbole
et le minimum d'attributs nécessaire à son fonctionnement.
Pour ajouter plusieurs AOP ayant même composant de base, on procède en deux étapes :

• copie du composant de base ;


• copie de (ou des) l'AKO.

 Copie du composant de base (Base Part) :


a) Depuis Schematics ouvrir File >> Edit Library.
b) Faire File >> Open, cliquer sur MaBibli puis sur Ouvrir.
c) Faire Part >> Copy, cliquer sur Select Lib et choisir une bibliothèque dans laquelle se trouve
le modèle du 741. Cliquer sur Ouvrir. Cliquer, dans la fenêtre Part, sur 741/OP (Base Part),
dans la boite de dialogue Part. Remplacer 741/OP par A0P5, par exemple19, dans la case New
Part Name et cliquer sur OK. Le symbole de l'AOP apparaît à l'écran.
d) Faire Part >> Attributes, cliquer sur Part, taper le nom du modèle : AOP5 et cliquer sur
Save Attr. Cliquer ensuite sur Model et procéder de la même façon. Terminer en cliquant sur
OK.
e) Faire File >> Save.

 Ajout d’un symbole AKO :


a) Faire File >> Open, cliquer sur MaBibli puis sur Ouvrir.
b) Faire Part >> New, décrire brièvement le composant (par exemple AOP standard), taper le
nom du composant : TL081 (c'est généralement le nom du modèle). Taper le nom de l'AKO
associé : AOP5 et cliquer sur OK.
c) Faire Part >> Attributes, cliquer sur Part, taper le nom du symbole : TL081, et cliquer sur
Save Attr. Cliquer ensuite sur Model et procéder de la même façon. Terminer en cliquant sur

19
AOP5 car ce type d'AOP possède 5 entrées-sorties utilisables (voir .subckt TL081 ci-dessus).

87 88
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

3.7. La macromodélisation : commun pour la sortie. Cette valeur est généralement choisie à la moitié de la tension
d'alimentation des circuits, pour symétriser l'excursion de la tension en sortie de l'amplificateur.
L'objet principal de la macromodélisation est de remplacer un système électronique ou
une partie de ce système (une fonction ou un dispositif actif) par un modèle afin de réduire
significativement le temps requis par les nombreuses simulations électriques effectuées en phase
de conception. Pour ce faire, un macromodèle doit répondre à deux exigences conflictuelles: il
doit être structurellement le plus simple possible et en même temps simuler le comportement du
circuit avec le maximum de précision. Selon leur mode de construction et leur niveau
d'abstraction, on distingue essentiellement trois catégories de macromodèles:

1- Les modèles analytiques écrits dans un language de programmation de type C ou un language


spécifique de type AHDL (Analog Hardware Description Language), VHDL-AMS ou SystemC ; Figure 3.17 : Macromodèle d’un AOV à gain fini
2- Les modèles électriques construits à partir d'éléments idéaux linéaires: sources contrôlées et
composants passifs ;  Prise en compte de la résistance de sortie
3- Les modèles physico-électriques construits à partir d'éléments idéaux et d'un nombre réduit de Une prise en compte d'une résistance de sortie non nulle peut être simplement réalisée par
composants actifs physiques, essentiellement des diodes et des transistors MOS décrits par un l'adjonction d'une résistance en série sur la sortie du dispositif (voir Figure 3.18).
modèle non linéaire de bas niveau, typiquement de niveau 1 SPICE.

Pour les deux dernières catégories de macromodèles, implantables sur la plupart des
simulateurs électriques standards de type SPICE, trois approches sont traditionnellement
utilisées. La première approche consiste à partir du dispositif original et à remplacer une
circuiterie ou une partie de circuiterie par une circuiterie plus simple constituée d'éléments
idéaux. La deuxième approche consiste à réaliser une macromodélisation comportementale au
sens où on cherche à satisfaire un jeu de spécifications électriques sans nécessairement recopier
la topologie du dispositif original, mais en prenant en compte les éventuelles interactions Figure 3.18 : Macromodèle d’un AOV à gain fini avec résistance de sortie
électriques avec l'environnement électrique externe. Quant à la troisième approche, on reste dans
le cadre d'une macromodélisation comportementale, mais sans recopie des interactions avec Une autre macromodélisation du gain fini et de la résistance de sortie peut également être
l'environnement électrique externe, dans ce cas on est dans le domaine de la macromodélisation réalisée à partir du schéma électrique de la figure 3.19. La transformation de la source de tension
fonctionnelle. par une source de courant avec gs = 1/Rs est avantageuse en terme de nombre de nœuds lors de la
modélisation des pôles de la fonction de transfert de l'amplificateur opérationnel. La tension de
3.7.i. Exemple de macromodélisation, les amplificateurs opérationnels : sortie étant référencée par rapport à la tension de mode communVSMC avec Vs = gsV1/gs =
(gmd/gs)(VEP - VEM). Il suffit, par exemple, de poser gmd = 1 et gd = 1/ Ad0 pour établir la
En terme de théorie des réseaux, le amplificateur opérationnel (AOP) regroupe quatre correspondance entre les deux modes de macromodélisation.
amplificateur idéaux à gain infini : l'amplificateur tension-tension (AOV), l'amplificateur
courant-courant (AOI), l'amplificateur tension-courant (AOT) et l'amplificateur courant-tension
(AOR).

 L'amplificateur opérationnel mode tension :


C'est l'amplificateur opérationnel le plus couramment utilisé en macromodélisation, et la
plupart du temps on le désigne simplement par l'acronyme AOP. Sa sortie basse impédance,
idéalement nulle, l'isole des effets de charge, permettant une modélisation fonctionnelle des
principaux indices de performance de l'amplificateur opérationnel. Figure 3.19 : Autre macromodèle d’un AOV

o L'AOV à gain fini  Prise en compte du gain de mode commun


 Prise en compte du gain statique Si on considère le modèle de la Figure 3.20, la tension de sortie étant référencée par
La spécification à prendre en compte est le gain en tension fini. Ce macromodèle (Cf. rapport à VSMC, avec Vs = V1 = (gmd/gs)(VEP - VEM) + (gmc/gd)(VEP+VEM). Il suffit de poser gmc
Figure X.1) correspond simplement à une source de tension commandée en tension Si le gain Ad0 = (Ac0/ Ad0)gmd. Pour obtenir avec le formalisme recherché : Vs = Ad0(VEP - VEM) + Ac0(VEP +
est très grand, idéalement infini, on obtient le modèle AOP "idéal" totalement indépendant de son VEM)/2. En terme de rapport de réjection de mode commun, c'est à dire avec
environnement. La tension VSMC permet de fixer la valeur du potentiel statique de mode RRMC = |Ad0/Ac0|.On posera par exemple : gmd = 1, gd = 1/Ad0 et gmc = 1/RRMC.

89 90
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

Le dispositif est caractérisé par une réponse en fréquence du premier ordre paramétrée par
son gain fini Ad0 et sa fréquence de transition FT (cf. Figure 3.23). C'est un modèle petit signal.

Figure 3.20 : Macromodélisation du gain en mode commun

 Prise en compte de la tension de décalage Figure 3.23 : Macromodéle d’un AOV petit signal à un pôle
Sachant que par définition, la tension de décalage est la tension continue qu'il faut placer à
l'entrée de l'amplificateur opérationnel pour annuler les erreurs statiques, on vérifie directement  Paramètres de synthèse du modèle
sur le schéma de la Figure 3.21, que la tension de sortie étant référencée par rapport à VSMC, une La tension de sortie référencée par rapport à la tension de sortie mode commun VSMC
prise en compte de la tension de décalage est réalisée avec Vs = Ad0(VEP - VEM) – ED0Ad0. g V − VEM V − VEM
s'écrivant Vs = md EP = Ad 0 EP et FC étant la fréquence de coupure de l'AOP
gd C p
1+ p 1+
gd wc
avec FC = FT / Ad 0 ² − 1 .On détermine pour le modèle un jeu possible de paramètres de
Ad 0 ² − 1 1
synthèse avec gd = 1/Ad0, gmd = 1 et C = .
Ad 0 2πFT
o L'AOV à deux pôles réels
Figure 3.21 : Macromodélisation de la tension de décalge  Paramètres d'entrée du modèle
Le dispositif est caractérisé par une réponse en fréquence du deuxième ordre paramétrée
 Prise en compte de la limitation de l'excursion de sortie par son gain fini Ad0, sa fréquence de transition FT et sa marge de phase correspondante MP (voir
La tension d'alimentation d'un amplificateur opérationnel étant évidemment limitée, la Figure 3.24).
tension d'excursion en sortie ne peut jamais être supérieure à une valeur Vsmax imposée par
l'alimentation haute, et ne peut jamais être inférieure à une valeur Vsmin imposée par
l'alimentation basse. La limitation de l'excursion de sortie est donc un phénomène non-linéaire
qui peut être pris en compte par un modèle physico-électrique construit à partir d'une diode
"idéale" de niveau 1 SPICE et d'une source de tension continue. En effet, une diode étant
modélisable analytiquement dans le sens direct (passant) par Id = Is(exp(Vd/nUT)-1) et dans le
sens indirect (bloqué) par Id = 0. La tension thermique (UT ~ 26mV) et le courant de saturation Is
étant essentiellement des paramètres technologiques, on constate que, de par la fonction Figure 3.24 : Macromodéle d’un AOV petit signal à deux pôles
logarithmique la tension Vd = nUTlog((Id/Is) - 1) est principalement contrôlable par son
coefficient d'émission n. Il suffit ainsi de choisir pour ce dernier une valeur nettement inférieure à  Paramètres de synthèse du modèle
sa valeur par défaut de un (typiquement 0,001). Pour simuler un interrupteur commandé en La tension de sortie référencée par rapport à la tension de sortie de mode commun VSMC
tension, on obtient ainsi le macromodèle de la Figure 3.22. g g VEP − VEM VEP − VEM
s'écrit Vs = m1 m 2 = Ad 0 .
g d1 g d 2  C  C   p  p 
1 + p 1 + p  1 + 1 + 
 g d 1  gd2   wc1  wc 2 
A partir de l'expression de la marge de phase MP ~ arctg(w2/wT) et de la définition de la
Ad 0
fréquence de transition FT, = 1 et on détermine la pulsation du pôle
 wT2  w2 
1 + 2 1 + 2T 
 w  w 
Figure 3.22 : Modélisation de l'excursion maximum de sortie  c1  c2 

o L'AOV à un pôle réel


 Paramètres d'entrée du modèle

91 92
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

dominant conduisant à un jeu de paramètres de synthèse donné par : F2 = FTtg(MP), gm1 = gm2 = générer à partir d'un simulateur électrique standard un courant de densité spectrale SJ et une
g d1 tension de densité spectrale SE.
gd2 =1, C1 = , C2 = gd2/(2πFTtan(MP)).
2πFT FT2
1+ 2
Ad 0 F2

 Modélisation des capacités parasites d'entrée


Inévitablement, tout amplificateur opérationnel présente sur ses deux entrées une capacité
parasite structurelle. Ces deux capacités sont modélisées à partir du schéma de la Figure 3.25. On
notera que celles-ci sont considérées par rapport à la masse petit signal et non pas entre les deux
entrées, elles englobent ainsi la capacité d'entrée différentielle (multipliée par un facteur 2 de part
le principe du demi-circuit) et d'éventuelles capacités parasites de mode commun.

Figure 3.27 : Modélisation du schéma équivalent d’un AOP bruité

• Modélisation du bruit thermique


Figure 3.25 : Macromodéle d’un AOV avec ses capacités d’entrée Electriquement, le bruit thermique aux bornes d'une résistance est modélisable à partir
d'une source de courant aléatoire de densité spectrale Sin = 4kTG (où k est la constante de
 Modélisation du slew-rate Boltzmann, T la température et G la conductance) en parallèle avec une résistance sans bruit de
Le slew rate SR (vitesse limite d'excursion) est un phénomène non-linéaire qui peut être valeur R = 1/G. Ainsi, si on désire générer une tension de bruit de densité spectrale Svn et un
pris en compte par un modèle physico-électrique construit, comme la limitation de le tension de courant de bruit de densité spectrale Sin, on peut considérer le modèle fonctionnel de la Figure
sortie, à partir de diodes "idéales" de niveau 1 SPICE et de sources de tension continues comme 3.28 avec : R = 4kT/Sinref, H = S vn / S inref ou F = S in / S inref .
indiqué sur la Figure 3.26. Si on considère le premier circuit à diodes idéales, modélisant le slew- On notera qu'à la température ambiante une résistance de 16.56kΩ génère une densité spectrale
rate positif, un courant IX < IXMAX implique la conduction de la diode D2 qui agit idéalement
comme un court-circuit, l'ensemble D1 et VMAX est un limiteur de tension qui ne conduit que si de bruit Sin de 10-24A/Hz, correspondant à un courant efficace in ≈ 1 pA / Hz . Pour une
VX > VsMAX. Alors qu'un courant IX ≥ IXMAX implique la conduction de la diode D1, établissant un résistance de 1kΩ, on obtient vn ≈ 1nV / Hz .
courant dans le condensateur de valeur IC = IX1 – ID1 = IMAX, avec IMAX = C*SR, le courant IC est
constant, modélisant ainsi le phénomène du slew-rate. De même, le circuit constitué des diodes
D3 et D4 modélise le slew-rate négatif.

Figure 3.28 : Génération d’une tension et d’un courant de bruit thermique

• Modélisation du bruit en 1/f


Le bruit en 1/f est associé à un composant actif. Ainsi, pour une diode, le niveau 1 SPICE
fournit un courant de bruit de densité spectrale : Sin(f) = KF*ID0AF/f + 2qID0, où KF est le
coefficient du bruit, AF en est l'exposant, q est la charge de l'électron et ID0 est le courant de
Figure 3.26 : Modélisation du Slew-rate
polarisation de la diode. En se donnant AF = 1, on peut écrire : Sin(f) = 2q ID0(1+fc/f) avec fc =
KF/2q.
 Modélisation du bruit
Pour un courant de polarisation de la diode déterminé par ID0 = Sinref/2q, le coefficient du bruit est
En terme de densité spectrale, un AOP bruité est modélisable par le schéma de la Figure
3.27. Ainsi, une modélisation de l'amplificateur opérationnel bruité peut être réalisée si on sait donné par la fréquence de coupure du bruit en 1/f, avec KF = 2qfc. Ainsi, avec H = S vn / S inref

93 94
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

et F = S in / S inref , la génération d'une tension et d'un courant de bruit ayant une composante en  L'amplificateur opérationnel de transconductance :
1/f peut être assurée fonctionnellement par le circuit de la Figure 3.29, le condensateur de blocage L'amplificateur opérationnel de transconductance (AOT pour Operational
Cb de trés forte valeur (1F), permet le prélèvement du courant de bruit de la diode (par une Tranconductance Amplifier) est l'amplificateur opérationnel le plus couramment rencontré en
sonde), sans perturber sa polarisation. On notera que, contrairement au modèle "bruit thermique microélecronique. En effet, dans un environnement "haute impédance", la résistance de sortie de
résistif", le modèle "diode" ne permet pas la prise de la température lors du changement des l'amplificateur peut être également grande et ainsi permettre une simplification notable de son
conditions de simulation. architecture. L'exemple typique, est lorsque l'environnement est de type capacités commutées,
c'est à dire purement capacitif, où l'impédance de sortie du dispositif actif peut être théoriquement
infinie. La prise en compte d'une sortie haute impédance permet de modéliser deux
caractéristiques importantes. D'une part un mode de fonctionnement particulier pour les circuits à
capacités commutées, avec des discontinuités en régime transitoire sur les fronts d'horloge.
D'autre part une dépendance fonctionnelle des principaux indices de performance avec
l'environnement extérieur principalement fixée par le nombre de nœuds haute impédance présenté
par l’amplificateur.
Figure 3.29 : Génération d’une tension et d’un courant en 1/f
o L'OTA à un nœud haute impédance
 L'OTA à gain statique fini
 L'amplificateur opérationnel à sortie différentielle : L'OTA à gain statique fini de la Figure 3.31 est simplement caractérisé par un gain Ad0 =
gm/(gs + Gl), prenant en compte la conductance de sortie gs et l'effet de charge statique procuré
La prise en compte d'une sortie différentielle est réalisée par le schéma de la Figure 3.30, par Gl.
avec : Vsp = VSMC + VSMC0 + V1/2 et Vsm = VSMC + VSMC0 - V1/2. La sortie de mode
différentiel Vsd = Vsp - Vsm est égale à V1 et la sortie de mode commun Vsp = (Vsp+Vsm)/2 est
égale à VSMC0 + VSMC. L'amplificateur opérationnel à sortie différentielle ainsi macromodélisé
est parfaitement équilibré, et la tension VSMC0 est la tension de sortie résiduelle de mode
commun.

Figure 3.31 : OTA à gain statique fini


L'OTA à un pôle réel
• Paramètres d'entrée du modèle
Le dispositif est caractérisé par une réponse en fréquence du premier ordre paramétrée par
son gain fini Ad0, sa fréquence de transition FT, sa conductance de charge Gl et sa capacité de
charge Cl (voir Figure 3.32).
Figure 3.30 : Modélisation d’un amplificateur différentiel

 Prise en compte des dispersions statistiques


Si la prise en compte des dispersions statistiques sur un paramètre primaire comme la
tension de décalage est directe, il n'en est pas de même pour les différentes spécifications
fréquencielles qui sont formellement liées. Ainsi, une variation sur le gain statique doit conduire
à une variation dans le sens inverse sur la fréquence de coupure puisque les deux caractéristiques
dépendent d'une même conductance. De part le formalisme utilisé pour la détermination des
composants des macromodèles à un pôle et à deux pôles, une prise en compte "comportementale" Figure 3.32 : OTA à pôle réel
statistique des principaux indices de performance fréquenciels peut être réalisée directement sur
le paramètre gd du macromodèle à un pôle et sur le paramètre gd1 du macromodèle à deux pôles.

95 96
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

• Paramètres de synthèse du modèle • Prise en compte des autres non-idéalités


La tension de sortie référencée par rapport à la tension de sortie mode commun VSMC La modélisation du gain de mode commun, de la tension de décalage, de l'excursion de
gm VEP − VEM V − VEM fT sortie, des capacités d'entrées, du slew-rate et du bruit est identique à celle de l'amplificateur
s'écrivant Vs = = Ad 0 EP et f c = étant la fréquence de opérationnel mode tension. Quant aux dispersions statisques sur le gain statique et sur les indices
g s + Gl Cl p
d0 −1
2
1+ p 1+ A de performance fréquenciels, elles peuvent être simplement prises en compte (partiellement
g s + Gl wc
comme le "comportement" l'exige) par la conductance de sortie gs.
coupure de l'AOP, on détermine pour le modèle, un jeu de paramètres de synthèse avec :
2πf T
C l − Gl et g m = Ad 0 (g s + Gl ) .
Remarques : Les OTA à deux nœuds haute impédance sont principalement caractérisés par le
gs = fait que toute augmentation de la capacité de charge produit une diminution de la
Ad20 − 1
marge de phase. Ceci est du à la structure à deux étages et à l'action de la capacité
On notera que pour une conductance de sortie Gl différente de zéro, la conductance de charge gs de compensation qui permet de générer le pôle non dominant par l'étage de sortie.
devant être positive, il y a une contrainte sur la valeur basse de la fréquence de coupure, c'est une Les caractéristiques en fréquence étant fortement dépendantes du type de
caractéristique de l'OTA qui a pour vocation de travailler dans un environnement "fortement" compensation interne, il est difficile de concevoir un macromodèle générique.
capacitif.
 Transformateur parfait :

L'OTA à deux pôles réels o Le transformateur idéal
• Paramètres d'entrée du modèle Le transformateur idéal ou parfait est une conceptualisation du transformateur inductif
L'amplificateur opérationnel est caractérisé par une réponse en fréquence du deuxième réel, valable pour tous les types d'analyse utilisés en simulation, l'alternatif, le transitoire et
ordre paramétrée par son gain fini Ad0, sa fréquence de transition FT, sa marge de phase MP, sa surtout le statique. De part son jeu d'équations de fonctionnement constitué du couple V2 = nV1 et
conductance de charge Gl et par sa capacité de charge Cl (cf. Figure 3.33). I2 = - I1/n. Il est modélisable par une source de tension et une source de courant contrôlées
comme indiqué sur la Figure 3.34.

Figure 3.33 : OTA à deux pôles réels

• Paramètres de synthèse du modèle


Comme pour l'AOV correspondant, le pôle non dominant est fixé par la marge de phase et Figure 3.34 : Symbole et modélisation d’un transformateur idéal
la fréquence de transition avec w2 = gd2/C2 = wTtan(MP), alors que le gain statique est donné par
g m1 g m 2 o Le transformateur différentiel parfait de tension
Ad 0 =
( )
et que le pôle dominant dépendant de la charge est donné par Lorsque l'on désire caractériser un amplificateur différentiel, en termes de performances
g ds 2 g s + Gl électriques, il est impératif de le caractériser pour ses propriétés de mode différentiel et de mode
g s + Gl w w commun, mais également en fonction de ses gains de conversion traduisant le couplage entre les
w1 = = T 1 + T2 . On détermine ainsi un jeu de paramètres de synthèse avec deux modes principaux. Pour ce faire, pratiquement on utilise le transformateur différentiel ou
Cl Ad 0 w2
"balun" de la Figure 3.35.

g s = Cl
wT w2
(
1 + T2 − Gl , g m = g m 2 = g d 2 = Ad 0 g s + Gl et C 2 = )
gd2
.
Ad 0 w2 wT tan( MP )
On notera que d'une part, comme l'OTA à un pôle, pour une conductance de sortie Gl différente
de zéro, la conductance de charge gs devant être positive, il y a une contrainte sur la valeur basse
de la fréquence de coupure, et que d'autre part toute augmentation de la capacité de charge
produit une augmentation de la marge de phase. Ceci est une caractéristique essentielle des
dispositifs actifs à un nœud haute impédance.

97 98
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

o Le transformateur différentiel parfait de courant


L'écriture des courants aux accès montre que le dispositif précédent n'est pas adapté pour
le transfert en courant. On vérifie facilement que la sonde différentielle de courant de la Figure
X.21 convertit deux courants asymétriques I1 et I2 en une composante de mode différentielle ID et
une composante de mode commun IC telles que ID = I1 – I2 et IC = (I1 + i2)/2 et I1 = ID/2 + IC et I2
= -ID/2 + IC.

Figure 3.35 : Symbole d’un transformateur différentiel

Si on considère les équations fondamentales du transformateur idéal, on peut écrire pour le


transformateur différentiel VD = 2(V1 – VC) et VD = 2(VC – V2). Soit VD = V1 – V2 et VC = (V1 +
V2)/2 ou V1 = VD/2 + VC et V2 = -VD/2 + VC. Le transformateur différentiel est donc un dispositif
bidirectionnel qui convertit deux tensions assymétriques V1 et V2 en une composante de mode
différentielle VD et une composante de mode commun VC, et inversement, qui convertit un couple
de tension de mode différentielle et de mode commun en un couple de tensions assymétriques. En
terme de macromodèle comportementale, en condérant le modèle du transformateur idéal de la
Figure 3.34, en tension, on peut le représenter par le schéma équivalent électrique de la Figure
3.36.

Figure 3.37 : La sonde différentielle de courant et sa représentation à sources contrôlées

Figure 3.36 : Macromodèle d’un transformateur differentiel de tension


En simulation, ce macromodèle peut être utilisé pour effectuer directement, c'est à dire sans
opération mathématique sur les différents signaux, la mesure des principales fonctions de réseaux
des amplificateurs différentiels de tension.

99 100
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

4 – Quelques exemples : - Pour déplacer un composant, on le sélectionne en cliquant dessus avec le bouton
gauche, et on le déplace en maintenant le bouton enfoncé.
Voici quelques exemples de circuits simulés sous MicromSim avec une brève description - Pour supprimer un composant, après l'avoir sélectionné :
de la procédure à suivre dans chacun des cas. • Soit presser sur Suppr ;
• Soit ouvrir Draw >> Delete.
4.1. – Pont résistif :
- Pour redessiner le schéma : soit cliquer sur l'icône, , soit faire CTRL + L ou
On se propose de réaliser le schéma suivant de la figure 70 et de faire une analyse du point de ouvrir View >> Redraw.
fonctionnement.
 Relier les composants entre eux :

Cliquer sur l'icône (équivalent de Draw >> Wire ou Control W). Amener la pointe
du crayon sur l'extrémité supérieure de la source de tension, cliquer sur le bouton gauche et
déplacer la souris, sans maintenir le bouton enfoncé, jusqu'à l'extrémité gauche de la première
résistance, cliquer à nouveau sur le bouton gauche. Cliquer maintenant sur l'extrémité droite de la
résistance et procéder de la même manière pour la relier à la seconde résistance. Pour quitter le
mode fil, cliquer sur le bouton droit de la souris.

 Attribuer des valeurs aux composants :


Actuellement, la tension continue de la source VDC (nommée V1 sur la figure 70) vaut
zéro volt. Pour la modifier, cliquer deux fois sur sa valeur : une fenêtre Set Attribute Value
s’ouvre. Remplacer 0V par 10V et cliquer sur OK. De la même façon, on peut modifier les
valeurs des résistances.

 Placer des appareils de mesure :


Figure 4.1 : Pont diviseur • Voltmètre continu :
Sélectionner, dans la bibliothèque Special.slb, l'élément VIEWPOINT. Placer le voltmètre
Lancer l'éditeur de schéma Schematics et réaliser le schéma. entre les deux résistances.
 Résistances ( R ) : • Ampèremètre continu :
Sélectionner, dans la bibliothèque Special.slb, l'élément IPROBE. Le placer en série avec
Cliquer sur l'icône (équivalent de Draw >> Get New Part ou CTRL + G). Cliquer R2. Terminer avec le fil reliant l'ampèremètre à la source.
sur Libraries et choisir la bibliothèque Analog.slb dans la fenêtre Library puis R dans la fenêtre
Part ou bien taper R dans la fenêtre PartName. Cliquer sur OK puis sur Close. Placer la  Sauvegarder le travail en cliquant sur l'icône de disquette :
première résistance en cliquant sur le bouton gauche de la souris. Placer la seconde résistance en
position horizontale pour l'instant. Pour quitter le symbole résistance, cliquer sur le bouton droit
de la souris. Pour faire tourner la seconde résistance, cliquer dessus, avec le bouton gauche, pour
la sélectionner puis soit faire CTRL + R, soit ouvrir Edit >> Rotate.  Paramétrer la simulation :

 Source de tension continue (VDC) : Sélectionner l'icône (équivalent de Analysis >> SetUp). Cocher la case Bias
Procéder de la même manière que pour les résistances mais en choisissant, dans la Point Detail pour calculer le point de repos. Cliquer sur Close.
bibliothèque source.slb, le composant VDC.
Remarque : Le fait de cocher la case ajoute des points de calcul, mais le point de repos est
 La masse (GND_ANALOG) : toujours calculé lorsqu’on effectue une simulation quelquonque.
Procéder de la même manière que pour les résistances mais en choisissant, dans la  Lancer la simulation :
bibliothèque ports.slb, le composant GND_ANALOG.
Cliquer sur l'icône (équivalent de Analysis >> Simulate ou de F11). La fenêtre du
Remarques : - Vous pouvez utiliser avec profit les différents symboles de loupe . Celui-ci simulateur PSpice s'ouvre pendant la simulation. Fermer éventuellement cette fenêtre à la fin de
permet d'afficher à l'écran la totalité du schéma tout en le centrant. la simulation.

101 102
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

 Visualiser les valeurs des tensions et intensités continues du schéma : 4.2. – Circuit RC en régime transitoire :
Si dans le menu Analysis, si Display Results on Schématic est ‘’Enable’’, les potentiels
des noeuds et les intensités des courants dans les composants peuvent s’afficher Dans le menu File cliquer sur New et dessiner le schéma suivant :
automatiquement.
On peut se limiter à l’affichage des tensions en cliquant sur l’icône ou en validant
Enable Voltage Display dans Analysis >> Display Results on Schématic. On peut aussi se
limiter à l’affichage des intensités en cliquant sur l’icône ou en validant Enable Current
Display dans Analysis >> Display Results on Schématic. On peut, en cliquant sur un noeud
valider ou non l’affichage de son potentiel en cliquant sur l’icône . On peut, en cliquant sur un
composant valider ou non l’affichage de l’intensité du courant qui le traverse en cliquant sur
l’icône .

 Examiner la liste des nœuds du circuit :


En ouvrant Analysis >> Examine Netlist, on obtient :

* Schematics Netlist *
Figure 4.2 : Circut RC
R_R1 $N_0002 $N_0001 1k
V_V1 $N_0002 0 10V
La source de tension VPULSE se trouve dans
R_R2 $N_0003 $N_0001 1k
la bibliothèque Source.lsb. On attribuera les valeurs
v_V2 $N_0003 0 0
suivantes à la source : V1 = 0 ; V2 = 10 ; TD = 0 ;
TR = 0 ; TF = 0 ; PW = 1m ; PER = 2 m.
ce qui rend compte des différents objets utilisés et des liaisons entre eux.
Placer des étiquettes (E et S) en cliquant sur un
fil et en ouvrant Edit >> Label (ou CTRL + E).
Remarques : - Les numéros des nœuds sont précédés de $N.
Les voltmètres s'obtiennent en cliquant sur
- La masse est ici considérée comme une source de tension de 0V.
l’icône ou en ouvrant : Markers >> Mark
 Examiner le fichier de sortie : Voltage >> Level, ou encore en faisant CTRL + M.
En ouvrant Analysis >> Examine Output, on obtient des informations sur les différents On peut choisir la couleur de la trace en cliquant sur
fichiers nécessaires à la simulation et à l’affichage des résultats. C’est également dans ce fichier Marker color. L'ampèremètre s’obtient en en cliquant
que l’on trouvera la liste des éventuelles erreurs. sur ou en ouvrant : Markers >> Mark Current
into Pin.
Paramétrer ensuite la simulation en cochant la
case Transient et en cliquant sur Transient dans la
figure 72. Choisir le pas d'affichage, 5µs, en écrivant
5u dans Print Step et la durée de la simulation, 2ms,
en écrivant 2m dans Final Time. Cliquer sur OK,
puis sur Close.
Figure 4.3 : Paramètres de l’analyse Sauver le schéma et lancer la simulation (F11).
transitoire Une fenêtre de résultats (PROBE) s'ouvre :

103 104
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

Les méthodes ci-dessus ne permettent pas de visualiser simultanément l’intensité du


courant et les tensions d’entrée et de sortie. Pour y parvenir, il est nécessaire de créer un second
axe vertical : Les trois traces étant présentes, supprimons la trace correspondant à l’intensité du
courant (on peut également supprimer la sonde de courant dans Schematics) :

• Suprimer d’abord –I(C1) ;


• Ouvrir Plot >> Add Y Axis : un second axe vertical (de numéro 2) est ajouté à gauche de
la fenêtre ;
• Ouvrir Plot >> Y Axis Settings, et compléter la boite de dialogue ci-dessous ;
• Sélectionner dans Y Axis Number le premier axe et préciser dans Axis title qu’il s’agit
d’un axe de tensions en écrivant ‘’U’’, terminer en cliquant sur OK.
• Ouvrir Trace >> Add et choisir I(R1)

Figure 4.4 : Résultat de l’analyse transitoire du filtre RC

Les courbes de tension sont affichées mais, attention, la courbe du courant n'est pas visible car
l'échelle de l'axe des ordonnées n'est pas adaptée.
Des curseurs peuvent être utilisés pour effectuer des mesures sur les courbes en cliquant Figure 4.5 : Changement sur les axes des ordonnées
Remarques : - Les écrans précédents obtenus en mode VGA (640X480) ne permettaient pas de
sur l'icône (équivalent de Tools >> Cursor >> Display ou CTRL + SHIFT + C). Deux visualiser l’ensemble des boutons de commande, en SVGA (800X600), ce n’est
curseurs sont disponibles (l'un associé au bouton gauche, l'autre au bouton droit de la souris). plus le cas.
Cela permet d'obtenir les coordonnées de deux points et leur différence. On peut choisir la (ou - Les couleurs, en particulier la couleur de fond de l’écran peut être modifiée en
les) courbe(s) sur laquelle on effectue les « mesures » en cliquant sur le symbole de même ouvrant le fichier msim_evl.ini et en remplaçant les paramètres de Probe display
couleur situé sous l'axe des abscisses (un carré vert pour V(E) ci-dessus) avec le bouton gauche colors notamment Background et Foreground.
ou droit.
Quantifier, par exemple, le temps écoulé entre 0 et 63% de la valeur finale de la tension de On se propose maintenant de faire une analyse de Fourier des signaux précédents, pour
sortie. Le comparer à la constante de temps du circuit.
cela, ouvrir Trace >> Fourier ou cliquer sur l'icône . Le spectre d'amplitude apparaît à
Le menu Tools >> Cursor et les boutons de la barre d'outils offrent de nombreuses l'écran :
options pour le curseur :
Pour visualiser le courant :

• Ouvrir Plot >> Axis Settings ;


• Cocher User Defined ;
• Ecrire - 1mA et 1mA dans les deux fenêtres situées en dessous.

On peut également éliminer les courbes de tension en cliquant sur leur nom (en dessous de l'axe
des abscisses, à gauche) et en appuyant sur Suppr. On les rétablira en ouvrant Trace >> Add ou

en cliquant sur et en sélectionnant dans la liste les courbes précédentes (V(E) et V(S)).

105 106
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

Figure 4.8 : Changement de l’axe des x

Le spectre a alors l'allure de la figure 4.9 ci-dessous :

Figure 4.6 : Résultats de simulation

Figure 4.9 : FFT élargi sur l’axe des x de la Figure 4.7

La largeur des raies des spectres est manifestement trop importante. Cela est dû au fait que nous
n'avons observé qu'une période du signal, la largeur d'une raie étant égale à 2f (f = fréquence des
signaux), soit ici 1kHz. Pour la diminuer, il faut donc augmenter la durée d'observation (Final
time). En faisant passer Final Time à 20 ms, par exemple, la largeur de raie sera 10 fois plus
faible c'est à dire 100 Hz. Le spectre du signal d'entrée prend alors l'allure de la figure 4.10.

Figure 4.7 : FFT des résultats de la Figure 4.6

Pour une meilleure observation, on pourra modifier l'échelle des abscisses en ouvrant Plot
>> XAxis Settings :

• cocher la case User Defined ;


• définir les fréquences minimale et maximale d'observation (0 et 10 kHz dans la figure 77).

107 108
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

4.3. – Circuit RC en régime sinusoïdal :

Remplacer la source précédente par la source VAC. Fixer l'amplitude de la composante


alternative à 10 V.

Figure 4.10 : FFT avec une durée d’observation plus adaptée


Figure 4.11
Avec les curseurs, on pourra vérifier la décomposition spectrale du signal rectangulaire
(fréquence et amplitude). Pour obtenir la tension de sortie en décibels choisir le voltmètre vdb dans Markers >>
V2 V2  1 1  Mark Advanced. La phase peut également être tracée en choisissant vphase.
e( t) = +2 sin(ωt) + sin( 3ωt) + sin( 5ωt)+...
2 π  3 5  Paramétrer la simulation en cochant la case AC Sweep (cf. Figure 4.12) et en choisissant
si V2 est l'amplitude de e(t). les fréquences minimale et maximale, le type de balayage ainsi que le nombre de points par
décade comme indiqué dans la figure 82 (qui peut être choisi plus faible).
Le bouton d'icône permet de passer facilement au maximum suivant. Placer à l’aide
de l’icône des étiquettes indiquant les coordonnées du curseur sur différentes raies du
spectre.
On peut revenir aux signaux en fonction du temps en ouvrant Trace >> End Fourier ou

en cliquant à nouveau sur l’icône .

Figure 4.12 : Type de simulation choisie

109 110
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

• Ouvrir Window >> Tile Horizontal : les deux fenêtres sont placées l'une au dessus de
l'autre ;
• Cliquer sur la fenêtre inférieure puis sur VDB(S) et appuyer sur la touche Suppr pour
supprimer cette courbe.

Les deux fenêtres portent le nom du fichier enregistré. Pour le modifier, ouvrir Window >> Title
et proposer un nouveau titre, par exemple "Phase(degrés)" et cliquer sur OK. Procéder de même
pour le gain en sélectionnant la courbe de gain.
Les deux fenêtres visualisées simultanément ne permettent pas d'effectuer des « mesures »
précises. Pour visualiser une seule des deux fenêtres il suffit de cliquer deux fois dessus. On
pourra, en s'aidant des curseurs mesurer la fréquence de coupure à -3dB, la phase à cette
fréquence et la pente de l'asymptote oblique du gain aux fréquences très supérieures à la
fréquence de coupure.

Figure 4.13 : Paramètre de la simulation AC désirée

On obtient les tracés de Bode ci-dessous :

Figure 4.15 : Diagramme de Bode sur fenêtre séparé

Figure 4.14 : Diagramme de Bode obtenu à partir de l’analyse AC

L'échelle des ordonnées est commune à l'amplitude (exprimée en dB) et à la phase du signal de
sortie (exprimée en degrés).

Pour obtenir le gain du montage et séparer les deux courbes, on peut procéder de la
manière suivante :

• Ouvrir Window >> New : une nouvelle fenêtre s'ouvre ;


• Ouvrir Trace >> Add et dans la fenêtre Trace Expression, écrire VDB(S)-VDB(E)
puis cliquer sur OK : le gain du montage est représenté dans la nouvelle fenêtre ;

111 112
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

Dans Analysis >> Setup, cocher Transient et cliquer dessus. Compte tenu des valeurs
4.4. – Analyse paramétrique d’un circuit RLC : numériques de L et C on choisit une durée d'observation de 0,5ms.

On se propose de faire varier une grandeur dans un circuit : la résistance d'un circuit
résonant RLC qui prendra successivement les valeurs 50, 100, 200, 400, 800Ω.
Dessiner le schéma suivant en gardant la même source VPULSE que dans l’exemple
précédent :

Figure 4.16 : Circuit RLC

Cliquer sur la valeur de R1 pour remplacer sa valeur numérique par une variable (ici
Rvar) placée entre accolades comme sur la Figure 4.17. Choisir, dans la bibliothèque Special.slb,
l'élément PARAM. Une fois en place, cliquer sur PARAMETERS pour introduire les Figure 4.19 : Définition de l’analyse transitoire à simuler
paramètres. On complétera les cases Value de NAME1 et de Value1 (voir figure 4.17).
Dans Analysis >> Setup, cocher Parametric et compléter les cases comme indiqué ci-
dessous :

Figure 4.17 : Valeur {RVAR} attribuée à la résistance R

Figure 4.20 : Définition des valeurs que va prendre RVAR succéssivement

Attention : Dans la Figure 4.20, la dernière valeur de la fenêtre Values est 800 (et non pas
80 !!).
Figure 4.18 : Définition de RVAR si l’analyse paramétrique n’est pas effectué

113 114
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

Lancer la simulation. La fenêtre Available Section s'ouvre : répondre OK.

Figure 4.23 : Information sur l’une des courbes affichées

Pour améliorer la précision d'un calcul ou de l'affichage, on peut imposer un pas maximal de
calcul en ajoutant dans le paramétrage de Transient (Analysis >> SetUp du logiciel
Schematics) une valeur de Step Ceiling (pas plafond). En introduisant une valeur de 1µs, on
Figure 4.21 : Premiere fenêtre de résultat de l’analyse paramétrique obtient une nette amélioration.
Le nombre de points de calcul est passé à 518 et le nombre de points affichés à 522, ce qui
Remarque : On peut supprimer une (ou des) courbe(s) à l'affichage en cliquant sur la ligne la améliore la précision des calculs et la qualité des courbes.
concernant pour la désélectionner.

Figure 4.24 : Information sur l’une des courbes affichées

Explication : - Durant une simulation analogique, le simulateur ajuste le pas interne de calcul
afin de maintenir une précision suffisante mais en évitant les calculs inutiles
lorsque le signal présente peu de variations. Par défaut, le pas interne maximum est
choisi égal à 2% de la durée totale (Final time). Cette valeur peut être modifiée en
spécifiant un autre pas maximum (Step Ceiling).
Figure 4.22 : Résultat de l’analyse transitoire pour différentes valeur de R D'après la documentation de Pspice la valeur de Print Step n'a pas d'action sur le
fichier de Probe. L'expérience montre que ce n'est pas le cas. Pour s'en convaincre,
On constate que le nombre de points de mesures est insuffisant, ce qui se traduit par un il suffit de modifier sa valeur actuelle et de la porter à 100us par exemple.
aspect segmenté des courbes. En conclusion pour obtenir de bons résultats, il est préférable de choisir Step
En cliquant sur le carré vert en dessous de l'axe des abscisses on obtient les caractéristiques de la Ceiling et Print Step faibles, par exemple égaux à Final time/500
courbe verte. Le nombre de points de calcul est égal à 75 et 87 points sont affichés (cf. Figure - Pour ajouter des commentaires (Text), des lignes (Line), des polygones ouverts
4.23). ou fermés (Poly-line), des flèches (Arrow), des rectangles (Box), des cercles
(Circle), des ellipses (Ellipse), on ouvrira le menu Tools >> Label. Du texte peut

115 116
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

également être ajouté en cliquant sur l'icône . Toutefois, il est à noter que 4.5. – Simulation de composants actifs :
suivant la version employer, ces fonctions ne sont pas toujours possibles (Tools >>
Label non présent sous Orcad PSpice 9.2 mais présent sur Microsim PSpice 8).
Les composants actifs nécessitent une ou plusieurs alimentations. Celles-ci (VDC)
peuvent être reliées directement aux bornes d'alimentation du composant mais cela surcharge le
Enfin, pour visualiser une ou plusieurs courbes paramétrées, voici la procédure :
schéma inutilement. On préfère associer à chaque alimentation une bulle (Bubble dans la
bibliothèque Port.slb) et associer à chacune d'elles une étiquette (+Vcc, par exemple) en cliquant
• Cliquer sur V(S) en bas de l’écran ; dessus. On fait de même du côté du composant. Une liaison électrique invisible est alors réalisée.
• Appuyer sur la touche Suppr du clavier : les courbes disparaissent ; Effectuer une simulation sur le montage ci-dessous en réglant l'amplitude de la tension
• Ouvrir Trace >> Add ; d'entrée à 2V et sa fréquence à 1kHz. Choisir correctement les paramètres de l'analyse transitoire.
• Spécifier V(S)@1 V(S)@5 dans Trace Expression pour observer les deux courbes
extrêmes (correspondant à Rmin et Rmax).

Figure 4.25 : Résultat de l’analyse transitoire pour 2 valeurs particulière de R


Figure 4.26 : Amplificateur à AOP

Les résultats coïncident avec ce que l'on pouvait espérer : montage inverseur et saturation du
signal de sortie lorsque l'amplitude dépasse 14,6V.

Figure 4.27 : Résultat de simulation de l’analyse temporelle

117 118
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

Si l'on règle le paramètre AC de la source Vsin à 2V et que l’on réalise une analyse harmonique,
on constate que la tension de sortie atteint une amplitude 20V en basse fréquence : l'analyse 4.6. – Caractéristiques de composants :
harmonique ne tient pas compte des tensions de saturation !
4.6.i. Diode Zener :

On se propose de tracer la caractéristique Vz(Iz)


d’une diode zener. Dessiner le schéma de la Figure 4.29.
Dans Analysis >> Setup, cocher DCSweep puis cliquer sur
DCSweep.
Compléter ensuite les cases de la fenêtre de la Figure 4.30.
Cocher :
- Current Source ;
- Decade. Figure 4.29 : Circuit de dessin de la
Puis, remplir : caractéristique statique d’une diode
• Name : I1 (nom de la source de courant) ;
• Start Value : 10u (valeur minimale de
l’intensité du courant) ;
• End Value : 10m (valeur maximale de
l’intensité du courant) ;
• Pts/Decade : 20 (nombre de points par
décade).

Lancer la simulation : on obtient la caractéristique Vz


Figure 4.28 : Résultat de l’analyse alternative petit signal
en fonction de Iz.

4.6.ii. Transistor à effet de champ :

 Caractéristique IDS(VGS) :
Dessiner le schéma ci-dessous. Les sources
sont du type VSRC.

Figure 4.30 : Paramètres de simulation


utilisés

Figure 4.32 : Circuit de dessin de la caractéristique statique d’unTEC

119 120
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

On procède en deux étapes :

• Un balayage linéaire de VDS, entre 0 et 10V, par pas de 0,1V ;


• Un balayage linéaire de VGS, entre 0 et -3V, par pas de -0,5V.

Pour cela on :

• Ouvrira Analysis >> Setup ;


• Cochera DC Sweep ;
• Cliquera sur DC Sweep ;
• Remplira la fenêtre DC Sweep ;
• Cliquera sur Nested Sweep ;
• Remplira la fenêtre Nested DC Sweep et cocher Enable Nested Sweep comme sur la
Figure 4.35;
• Fermera les fenêtres en cliquant sur OK et Close.

Figure 4.33 : Paramètres de simulation utilisés

 Caractéristique IDS(VDS) :
Pour tracer le réseau de caractéristiques IDS(VDS) pour différentes valeurs de VGS, il faut
effectuer deux balayages en tension : VDS et VGS.

Figure 4.35 : Suite des paramètres de simulation utilisés

Figure 4.34 : Suite des paramètres de simulation utilisés

121 122
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

4.7. – Calcul du bruit généré par des composants :

On s’intéressera au bruit généré par une diode zener polarisée par une source de tension
continue de 10 V et une résistance de 100 kΩ.
Dessiner le schéma ci-dessous où V1 est une source VAC avec DC = 10V.

Figure 4.36 : Résultat de simulation

Figure 4.37 : Circuit à diode

Ouvrir Analysis >> Setup et cocher AC Sweep et cliquer sur AC Sweep. Puis, procéder
aux réglages ci-contre.

• Type de balayage : Decade


• Pts/Decade : 101
• Start Freq : 10
• End Freq : 500k
• Noise Enabled : coché
• Output Voltage : V(S)
• I/V : V1
• Interval : ne pas remplir

Lancer la simulation.
Dans PROBE, ouvrir Trace >> Add et
sélectionner V(ONOISE). On obtient l’affichage de
la densité spectrale de tension de bruit en sortie (en
V/(Hz)1/2), en fonction de la fréquence. On pourra Figure 4.38 : Paramètre de simulation
remarquer qu’en augmentant la valeur de R, la
tension de bruit augmente tandis que la fréquence
de coupure diminue comme on pouvait s’y attendre (la tension de bruit dans une résistance étant
proportionnelle à la racine carrée de sa valeur et les capacités parasites de la diode associées à la
résistance formant un filtre passe-bas).
Pour plus de renseignements sur le calcul des densités spectrales de puissance et de
tension de bruit, vous pouvez obtenir l’aide en ligne en ouvrant : Help >> Search For Help On
et en recherchant AC Analysis ou encore en ouvrant Help >> Schematics User’s Guide.

123 124
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

4.8. – Simulation de composants numériques :

On se propose de réaliser un compteur par 4 asynchrone à l’aide de bascules JK (de type


74107, dont le fonctionnement normal a lieu quand CLR est à l’état bas). Le changement d’états
se fait sur fronts montants. Les inverseurs sont de type 7404. La fréquence d’horloge est de
10kHz.

Figure 4.41 : Paramètres de simulation

Figure 4.39 : Circuit numérique simulé Lancez ensuite la simulation et observez les signaux Q0 et Q1. Comme sur la figure 4.42,
on doit voir se réaliser un compteur par 4 : soit Q0 Q1 prennent successivement les valeurs 00,
01, 10,11, ...
Configurer la simulation comme présentée dans les figures 4.40 et 4.41.

Figure 4.40 : Paramètre d’initialisation des composants numériques

Figure 4.42 : Résultat de simulation

125 126
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

4.9. – Simulations statistiques Monté-Carlo et Worst-case maximal, avec la valeur nominale par YMAX, la valeur MAX ou MIN, ou bien combien de
fois un résultat a été trouvé supérieur à une zone définie par Range Lo, Range Hi + RISE
Lorsqu’on construit un équipement en série, les performances obtenues ainsi que les ou inférieur à cette zone par Range Lo, Range Hi + FALL.
points de polarisation des composants peuvent varier énormément d’un composant à l’autre à - MC Options = Permet de choisir le nombre de courbes qui seront affichées à l’écran :
cause des dispersions des valeurs des éléments. Si une grandeur est une fonction simple d’une None pour aucune, All pour toutes, First n pour les n premières (où n est un entier positif),
autre (par exemple la tension de collecteur d’un transistor bipolaire en fonction du β de ce Every n pour toutes les n, Runs pour celles qui seront précisées par leur numéro sous
dernier), il est facile de calculer la dispersion des valeurs de VCE si l’on connaît la loi de forme de liste dans la partie gauche adjointe.
répartition des β (par exemple, une loi gaussienne centrée sur 200 avec une variance de 10, soit
5%). Par contre, lorsque le nombre d’éléments du circuit à simuler croit et que la relation Ensuite, il faut bien sur définir l’analyse que nous désirons effectuer. Ici, il s’agira d’une
mathématique devient complexe, ce calcul peut se révéler très difficile, voir même impossible. analyse AC, soit Analysis  Setup  AC sweep et définir, par exemple, une zone d’analyse
On fait alors appel à des méthodes statiques telles que l’analyse Monté-Carlo et pire-cas (worst- allant de 100kHz à 200kHz avec 101 points par décade. Et, enfin lancer la simulation.
case). Vous pouvez voir le résultat obtenu à travers la Figure 4.46 par le module PROBE. On
notera que C3 influence de manière non-négligeable la fréquence où le gain est maximum mais
4.9.i. Analyse Monté-Carlo : n’influe pas la valeur de celui-ci.

Cette analyse consiste à effectuer de nombreuses simulations du circuit complet en


prenant pour chacune des valeurs tirées au hasard, compte tenu des lois de dispersion connues des
composants (ou plutôt de leurs paramètres).
Aussi, avant tout lancement d’une analyse Monté-Carlo, des tolérances doivent être
introduites dans les modèles utilisés lors de la simulation. Dans les versions DOS, pour une
résistance, on introduit dans la netlist la ligne de commande suivante :

.MODEL RTYPE1 RES(R=1 DEV=10%)

où RTYPE1 = Nom d’un type de résistance, Figure 4.43 : Circuit à transistor bipolaire Figure 4.44 : Définition de la tolérance de
RES = Nom du modèle des résistances en général, C3
DEV20 = Déviation en %. Il est à noter que, sans précision, la répartition est uniforme et
qu’en écrivant DEV/GAUSS, la répartition sera gaussienne (DEV est alors σ).

Dans les versions Windows, la commande est semblable à partir de la boite ouverte par Edit 
Attibute.

Pour illustrer cela, prenons l’exemple d’un amplificateur HF à transistor bipolaire de la


Figure 4.43 et étudions la simple influence de la valeur du condensateur d’accord sur le gain.
Pour cela, activons d’abord le condensateur C3 en cliquant dessus (il devient rouge) puis faire
Edit  Attibute. La fenêtre de la Figure 4.44 s’ouvre alors et introduisons la valeur 10% dans le
champ TOLERANCE, puis faire OK … Il suffit ensuite de programmer l’analyse Monté-Carlo par
Analysis  Setup  Monte-Carlo.
Dans la fenêtre correspondant à la Figure 4.45, choisir les options suivantes :
- Analysis = Monté-Carlo,
- MC Runs = 10, c’est le nombre d’analyse qui vont être effectuées avec des valeurs
Figure 4.45 : Résultat de simulation
aléatoires (de 10 à 100),
- Output Var = V(out),
La même méthode peut être appliquée aux éléments actifs. Etudions, par exemple,
- Analyse Type = AC, mais toute autre analyse est possible,
l’influence du gain interne du transistor. Pour cela, supprimons d’abord la tolérance de 10% sur la
- Function = Concerne les données qui seront écrites dans le fichier .OUT (fichier texte).
capacité C3, puis sélectionnons le transistor (qui doit apparaître en rouge) et faire Edit  Model
Pour réduire le volume des résultats, on peut ne noter, dans ce fichier, que l’écart
 Edit Instance Model (text). Dans la liste des paramètres qui apparaît, il faut alors modifier la
ligne Bf = 255.6 par Bf = 255.6 DEV 10% et cliquer sur OK. Ainsi, une tolérance d’erreur de
20
Il existe une seconde commande LOT qui est l’écart maximal de la valeur centrale d’un lot de composants par 10% a été introduite sur le béta du transistor. Il suffit alors (si nécessaire) de re-paramétrer
rapport à la valeur nominale. Les deux écarts s’ajoutent (val = val nom ± a DEV ± b LOT, où a et b sont les l’analyse comme précédemment et lancer l’analyse afin de visualiser le résultat (cf. Figure 4.46).
coefficients aléatoires compris entre 0 et 1 et où LOT et DEV sont exprimés en %).

127 128
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

On notera que Bf n’influe qu’assez peu sur le gain du montage (de part la présence de la - Vary = Utilisation des spécifications de tolérances DEV, LOT ou les deux (Both),
résistance d’émetteur R11 sur la Figure 4.43). - Direction = HI ou LOW pour définir si le cas défavorable est celui qui est la plus grand ou
Pour conclure, dans l’exemple précédent, un seul composant et un seul paramètre n’était le plus petit.
considéré comme « variable » mais, généralement, ce sont tous les composants d’un circuit qui
ont des tolérances et il faut définir la tolérance de ces différents paramètres avant toute analyse. A titre d’exemple, prenons le cas d’un filtre réjecteur double T de la figure 4.48. Ici,
toutes les résistances ont été créées par duplication (i.e., on définit la tolérance etc. d’une
résistance puis on ajoute les autres résistances par copier-coller) avec une tolérance de 10%. Il en
est de même pour les condensateurs. D’autre part, le pire cas correspond ici à la valeur maximale
de la tension de sortie, d’où le fait d’avoir sélectionné HI dans le champ Direction. Vous pouvez
voir le résultat obtenu dans la figure 4.49.

Figure 4.46: Résultat de simulation

4.9.ii. Analyse Worst-case :


Figure 4.48 : Circuit simulé
L’analyse Worst-case correspond à une analyse du pire cas. La directive .WCASE permet
de réaliser cette analyse de fonctionnement en permettant de déterminer (compte-tenu des Figure 4.47 : Fenêtre de paramétrage des
tolérances sur les différents composants utilisés) quel est le plus défavorable par rapport au but analyse Monte-Carlo et Worst-Case
recherché.
Pour cela, PSPICE recherche d’abord pour chaque composant dont les tolérances ont été
précisées quelle est la valeur possible la plus défavorable, puis il effectue une dernière analyse
avec toutes les valeurs ainsi trouvées. Il est à remarquer que les résultats trouvés sont (le plus
souvent) différents de ceux obtenus par une analyse type Monté-Carlo car, dans notre cas, les
valeurs les plus défavorables ne sont pas nécessairement celles tirées au sort par le logiciel durant
l’analyse Monté-Carlo.
Comme précédemment, il faut pour effectuer cette analyse compléter la fenêtre de
configuration de la simulation. Vous en avez un exemple à la Figure 4.47. Dans celle-ci, on
trouve :

- Analysis = Worst case,


- Analyse Type = AC, DC ou TRAN,
- Output Var = V(out) par exemple,
- Function = Type d’opération qui doit être effectuée sur la variable de sortie afin de réduire Figure 4.49 : Résultat de simulation
les résultats d’une analyse à une valeur unique définissant la différence par rapport à la
valeur nominale : YMAX pour un écart maximal avec la valeur nominale, MAX pour une
valeur maximale durant chaque analyse, MIN pour une valeur minimale, RISE pour avoir
la première occurrence de chaque analyse supérieure à la valeur spécifiée, FALL pour
avoir la première occurrence de chaque analyse inférieure à la valeur spécifiée. Range Hi
et Range Lo pour restreindre l’intervalle pour laquelle l’opération fonction est évaluée.
- WCase Options = Output All pour envoyer tous les résultats ou List pour choisir une liste,

129 130
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

5 – Capture : un circuit puis le simuler et/ou le router. Il faut ensuite choisir un répertoire dans lequel tous les
fichiers relatifs au projet seront enregistrés22. Enfin, on valide cette étape en cliquant sur OK.
Comme Schematics, le module Capture d’Orcad est utilisé au début d’un projet pour la Lors de la première utilisation du logiciel, Orcad demande les librairies à inclure. Ceci
saisie du schéma électronique (analogique, numérique, logique) à simuler. Comme le module est permet de choisir le(s) bibliothèque(s) à utiliser contenant les modèles Pspice des composants.
très proche de ce qui a été vu précédemment, nous n’insisterons que sur certains points. Pour le Ces modèles seront nécessaires pour la simulation23. Néanmoins, si on avait oublié des librairies,
reste, il conviendra de maitriser le contenu des chapitres précédents. il est possible d’en ajouter lors du placement des composants.
Une fenêtre demande alors si on souhaite utiliser une trame pour notre projet ou si on
5.1 Création d’un nouveau projet : préfère travailler sur un projet vierge. Nous choisirons cette dernière option avec Create a
blank project.
Nous allons d’abord ouvrir le module Capture. Ensuite, nous créons un nouveau projet en
5.2 Travail à partir d’un projet existant :
cliquant sur File >> New >> Project ou en cliquant sur l’icône . La fenêtre de la figure 1
Il est bien évidemment possible de retravailler un projet enregistré par le passé. Pour
apparaît :

cela, il faut cliquer sur File >> Open/Project ou sur l’icône

5.3 Barre d’icônes :


5-3.i. Icônes de gestion de projet :

Figure 5.1 : Fenêtre Capture pour la création d’un nouveau projet.

Nous choisissons, dans un premier temps, un nom pour notre projet21, par exemple astable.
Nous sélectionnons ensuite Analog or Mixed-Signal Circuit Wizard afin de pouvoir réaliser
22
On préfèrera créer un nouveau répertoire à chaque projet, la quantité de fichiers générés pour un projet pouvant
être relativement importante.
21 23
Attention, les lettres accentuées sont interdites. Attention car il peut exister des composants sans modèle de simulation.

131 132
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

5-3.ii. Icônes de placement des composants :

Figure 5.2 : Fenêtre de gestion de projet.

5.4 Gestion de projets : 5.5 Saisir un schéma :


5-5.i. Généralités :
Le gestionnaire de projet, comme son nom l’indique est un élément central puisqu’il
permet de regrouper tous les fichiers relatifs au projet dans une arborescence. Dans un premier
Une fois ces quelques préparatifs effectués, nous pouvons passer à la saisie du schéma.
temps, nous nous intéressons à la partie Design Ressources. C’est cette partie qui permet
Pour débuter, il faut que la page schéma soit active. Si ce n’est pas le cas par dé2faut, il faut la
d’avoir accès au « schematic » qui lui contient la (les) page(s). On remarquera que les actions
possibles ne sont pas les mêmes si la page de conception est active ou si le gestionnaire de projet sélectionner en cliquant sur l’icone du gestionnaire de projets .
est actif. Ensuite, il faut cliquer sur Design Ressources, Nom-de-projet.dsn, SCHEMATIC1 puis
PAGE 1.
Une fois la page active, nous nous intéressons au placement. Toutes les fonctions
classiques telles que copy, paste, delete, undo sont accessibles via le menu Edit. Lors d’une
copie de composant ou groupe de composants, les références ne changent pas et le schéma peut
alors contenir plusieurs composants de même référence, par exemple R2. On veillera à bien
renommer tous les composants de manière unique.
On trouve également les fonctions de sauvegardes dans le menu File. Attention
néanmoins à la nature de la sauvegarde, car seul les sélections actives sont enregistrées. Ainsi, il
faut bien veiller à sélectionner le gestionnaire de projets afin qu’il soit actif avant d’enregistrer.

On peut sélectionner un composant lorsque l’icône de sélection est actif. On


sélectionne ensuite le ou les élément(s) souhaités en les entourant dans une même zone.

133 134
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

Comme dans la version Microsim, la cartouche en bas à droite permet de mentionner le


nom de l’auteur, le titre du document. On peut aussi modifier la taille du schéma par Options >>
Schematic >> Page Properties.

5-5.ii. Placement des composants :

Nous allons à présent placer les composants grâce aux icônes précédemment présentés. Nous

cliquons sur l’icône Place Part qui ouvre la fenêtre suivante :

Figure 5.4 : Fenêtre des librairies de composants disponibles.

5-5.iii Modifications des propriétés des composants :

On peut changer la valeur d’un composant en double-cliquant sur cette valeur. De plus,
les valeurs numériques doivent être suivies des unités sans espace et les chiffres décimaux sont
écrits avec des points et non des virgules. On peut écrire les unités F pour Farade, H pour Henry.
Seul le symbole Ω n’est pas représentable.
Figure 5.3 : Fenêtre de placement de sélection des composants. Un composant a une multitude de propriétés : Part Reference, Value, PCB Footprint,
... On a accès à ces propriétés en double-cliquant sur le composant ou en sélectionnant un groupe
On sélectionne toutes les librairies (en bas à gauche sur l’image) puis on tape le nom du de composant puis Edit/properties.
composant recherché, par exemple R pour une résistance. On peut également ajouter une
librairie si on en avait oublié une lors du choix initial. On choisit Add Library puis on
sélectionne les librairies à ajouter tel que sur la figure ci-dessous :

Figure 5.5 : Fenêtre des propriétés des composants utilisés dans un schéma à simuler.

On sélectionnera l’onglet Parts pour les composants. Les Nets sont les liaisons entre
composants et les Pins correspondent aux broches.

135 136
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

5-5.iv Placement de liaisons :

- Liaisons simples : les fils

On clique sur l’icône Place Wire . Il ne faut pas confondre avec

l’icône Place Line qui place seulement des traits et non des liaisons
électriques. Un point rouge apparaît lorsque la liaison est possible.
Il ne faut jamais connecter 2 composants broche à broche mais plutôt
utiliser une liaison.
Parfois, on peut être amené à croiser des fils. Si on veut que tous les fils du
croisement soient reliés ensemble, il est nécessaire de placer une jonction

; un point rose apparaîtra alors.


- Liaisons multiples : les bus
Pour regrouper plusieurs fils dans un bus, il faut mentionner pour chacun Figure 5.7 : Librairie des alimentations.
d’eux un point d’entrée grâce à l’icône Place Bus Entry . Ensuite, on Remarques : Il est possible de rajouter du texte et/ou des graphiques pour commenter le
rassemble les différents fils en cliquant sur l’icône Place Bus . schéma. On utilise pour ce faire les icônes .

5-5.vi Impression :

En cliquant sur File >> Print Preview, la fenêtre suivante apparaît :

Figure 5.6 : Bus relié à différentes liaisons.

On peut nommer des liaisons grâce aux alias . Par convention, un bus sera nommée D[7..0]
avec D7 le bit de poids fort.

5-5.v Alimentation : Figure 5.8 : Fenêtre de configuration de l’impression.

Les composants étant placés, les liaisons électriques également, il ne manque plus qu’une source Une fois que la prévisualisation convient, lancer l’impression via File >> Print.
d’alimentation et une masse pour que le schéma puisse fonctionner. Pour cela, on sélectionne

l’alimentation à l’aide de l’icône Place Power et la masse à l’aide de Place Ground .


Il faut sélectionner la librairie CAPSYM pour y avoir accès (cf. figure 5.7).

137 138
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

5.6 Projets structurés :


Lors de la réalisation de schémas volumineux, il peut être intéressant de scinder le schéma (d’un
même projet) sur plusieurs pages. Orcad permet la division d’un projet suivant 3 structures :
- la structure à plat,
- la structure hiérarchique ?
- la structure hiérarchique complexe.

Un projet réalisé à l’aide d’une structure à plat est en fait la réalisation de plusieurs
schémas qu’on dispose côte à côte. On insère des connecteurs Off Page pour relier les différents
sous-schémas. Tous les schémas sont dans le même répertoire, par exemple Dossier-schemas et
peuvent être nommés page-schema1, page-schema2, ...
La connexion est réalisée entre tous les connecteurs ’Off Page’ ayant le même nom.

Figure 5.11 : Schéma type.

5.8 Profil de simulation :


Une fois le schéma prêt à être simulé, il faut créer un profil de simulation en choisissant
divers paramètres :
– le type d’analyse (temporelle, fréquentielle,...),
– la durée de simulation, les plages de fréquences,...

On sélectionne Pspice >> New simulation Profile ou bien on clique sur l’icône . La fenêtre
suivante s’affiche :

Figure 5.10 : Structure à plat.

5.7 Schéma type :


Un schéma saisi sous Capture destiné à la simulation doit suivre certaines règles qui sont
résumées sur le schéma suivant : Figure 5.12 : Fenêtre de profil de simulation.

On choisit généralement le même nom que le projet. On rajoute éventuellement le type de


simulation que l’on va effectuer. On a ensuite accès aux réglages des paramètres de simulation
(cf. figure 5.13).

139 140
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009 Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

5-9. i Analyse paramétrique :

Par rapport à ce qui a été dit sur la simulation lors des précédents chapitre, rien de
fondamental ne change avec Capture en dehors de l’emploi de l’analyse paramétrique. En effet,
elle peut être délicate à effectuer.
Dans le cas où l’on souhaite faire une analyse paramétrique sur une résistance. Les étapes
sont alors :

1. Donner comme valeur de paramètre un nom entre accolades, par exemple RVar.
2. Placer le symbole particulier PARAM disponible dans la librairie special.olb.
3. Editer les propriétés de ce symbole (clic droit Edit properties) ou double clic dessus.
4. Ajouter une colonne New puis changer le nom de la propriété avec RVar.
5. Donner une valeur par défaut, par exemple 1kΩ.
6. Rendre visible cette propriété sur le schéma avec Display en choisissant Name and value.
7. Revenir au schéma.

Figure 5.13 : Fenêtre de profil de simulation.

Il est possible de modifier un profil de simulation. Sinon, on peut créer de nouveaux profils de
simulation en choisissant éventuellement l’option Inherit from du modèle déjà crée.
Toutes les simulations créées se retrouvent dans le gestionnaire de projets sous Simulations
Profiles.

5.9 Lancement de la simulation :


La simulation peut être lancée soit à partir de Capture par Pspice >> Run, soit à partir de
Pspice par Simulation >> Run. On peut également utiliser l’icône (identique pour Capture et
Pspice) .

S’il y a des erreurs, elles sont mentionnées dans un fichier log : Figure 5.14 : Fenêtre de simulation pour une analyse paramétrique.
- Si on a une erreur du type "ERROR–Node N0012 is floating", Il faut alors bien
vérifier que la masse ait pour nom 0.
- Si on a une erreur du type "ERROR–Subcircuit 7400A used by X U1A is
undefined", il faut alors vérifier que les librairies de modèles soient bien
sélectionnées (grâce à l’onglet ’Libraries’ du profil de simulation).
- Si on a un point vert sur le schéma, cela signifie que le composant ne comporte pas
les paramètres nécessaires de simulation (par exemple un connecteur, utilisé en
vue du routage).
- …

141 142
Initialisation à la simulation de circuits intégrés analogiques et numériques S.Feruglio – 2009

6 – Bibliographie :
[1] Cours de S. Monnin, 2007.
[2] Cours de J. Auvray, http://perso.orange.fr/avrj.cours/documents/PSPICE/PSP1.pdf
[3] http://missiontice.ac-
besancon.fr/sciences_physiques/physique_appliquee/simulation/didac/spice.htm
[4] http://www.geocities.com/pmissirliu/
[5] http://www.gel.usherbrooke.ca/pspice/index.html
[6] http://www.iufmrese.cict.fr/catalogue/2001/spice/simuler_en_elec.pdf
[7] Cours de A. Gosselin, 1997.
[8] Cours de G. Cambon.
[9] Cours de O. Romain, 2006.
[10] Cours de G. Vasilescu, 2000.
[11] http://www.engr.uky.edu/~cathey/pspice061301.html
[12] http://www.cadence.com
[13] http://comelec.enst.fr/oceane/doc/documents/envsimu/
[14] TP Orcad Master SIDS ISSI, A. MARION, UCBL, 2007.

143

Vous aimerez peut-être aussi