Vous êtes sur la page 1sur 38

Calculateur pour pilote

automatique de bateau
Bilan de l’empreinte carbone et
ingénierie concurrente d’un système
électronique embarqué
Présentation du système

Présentation
du système

Etude

Projet
Pédagogique

Conclusion

2 Concours Professeur Agrégé


L’environnement

Présentation
Position GPS
du système Cap, Position / Nord
magnétique: COMPAS Vent: sens, direction, force
Etude Anémomètre-Girouette

Projet
Pédagogique

Conclusion

Enjeux : Eco-conception, Co-design, CEM


-Marché économique
-Cycle de vie, environnement

3 Concours Professeur Agrégé


Positionnement du système

Présentation
du système

Etude

Projet
Pédagogique

Conclusion

4 Concours Professeur Agrégé


Besoin d’usage

Présentation
du système

Etude

Projet
Pédagogique

Conclusion

5 Concours Professeur Agrégé


Déploiement

Présentation
du système

Etude

Projet
Pédagogique

Conclusion

6 Concours Professeur Agrégé


Etude du système

Présentation
• Une étude concurrente logicielle et matérielle,
du système (co-design)

Etude
• Problématiques technologiques :
Projet
Pédagogique - Pilotage automatique d’un bateau,
- Gestion de l’énergie d’un système embarqué,
Conclusion
- Immunité et perturbations électromagnétiques,
- Protection contre les décharges électrostatiques,
- Bilan de l’impact carbone, éco-conception.

7 Concours Professeur Agrégé


Pilotage automatique

Présentation
du système

Etude

Projet
Pédagogique

Conclusion

8 Concours Professeur Agrégé


Ingénierie concurrente
UML VHDL-AMS

Présentation
du système

Etude
Energie
Projet ESD CEM
Pédagogique

Conclusion

9 Concours Professeur Agrégé


Gestion de l’énergie

Présentation
du système

Etude

Projet
Pédagogique

Conclusion

Schéma S4, S5
• 312 W max en activité, 500 mW en veille
10 Concours Professeur Agrégé
Multiplicateur de tension
-- genhdl\intermos/intermos.vhd C101 : entity EDULIB.CAPACITOR(IDEAL)
-- Generated by SystemVision netlister 1.0 generic map ( CAP => 10.0E-6 )
build 2006.34.1 port map ( P1 => E_1,
P2 => V_PULSE );
library ieee;
library edulib; C99 : entity EDULIB.CAPACITOR(IDEAL)
Présentation use ieee.std_logic_1164.all; generic map ( CAP => 10.0E-6 ) V_PULSE1 : entity EDULIB.V_PULSE(IDEAL)
use ieee.electrical_systems.all; port map ( P1 => E_2, generic map ( AC_MAG => 1.0,
du système use ieee.mechanical_systems.all; P2 => V_12V ); PERIOD => 4.4 S,
use ieee.fluidic_systems.all; PULSE => 12.0,
use ieee.thermal_systems.all; C105 : entity EDULIB.CAPACITOR(IDEAL) WIDTH => 2.2 S )
use ieee.radiant_systems.all; generic map ( CAP => 10.0E-6 ) port map ( POS => V_PULSE,
Etude use work.all; port map ( P1 => S_OUT, NEG => ELECTRICAL_REF );
P2 => E_2 );
entity INTERMOS is V1 : entity EDULIB.V_CONSTANT(IDEAL)
end entity INTERMOS; C100 : entity EDULIB.CAPACITOR(IDEAL) generic map ( LEVEL => 12.0 )
Projet generic map ( CAP => 10.0E-6 ) port map ( POS => V_12V,
architecture arch_INTERMOS of INTERMOS is port map ( P1 => S_OUT, NEG => ELECTRICAL_REF );
Pédagogique terminal V_PULSE: ELECTRICAL; P2 => ELECTRICAL_REF );
terminal E_1: ELECTRICAL; end architecture arch_INTERMOS;
terminal E_2: ELECTRICAL; D22 : entity EDULIB.DIODE(IDEAL)
terminal V_12V: ELECTRICAL; port map ( P => V_12V,
Conclusion terminal E_3: ELECTRICAL; N => E_1 );
terminal S_OUT: ELECTRICAL; D23 : entity EDULIB.DIODE(IDEAL)
port map ( P => E_1,
begin N => E_2 );

C104 : entity EDULIB.CAPACITOR(IDEAL) D24 : entity EDULIB.DIODE(IDEAL)


generic map ( CAP => 10.0E-6 ) port map ( P => E_2,
port map ( P1 => E_3, N => E_3 );
P2 => V_PULSE );
D25 : entity EDULIB.DIODE(IDEAL)
port map ( P => E_3,
11 Concours Professeur Agrégé N => S_OUT );
Multiplicateur de tension
-- Use proposed IEEE natures and packages
library IEEE; Library IEEE; -- This function is to limit the exponential
use IEEE.electrical_systems.all; use IEEE.math_real.all; function to avoid convergence
-- Use IEEE natures and packages -- problems due to numerical overflow.
entity capacitor is use IEEE.electrical_systems.all; At x=100, it becomes a straight line
-- FUNDAMENTAL_CONSTANTS package -- with slope matching that at the
generic ( cap : capacitance; needed for Boltzmann constant intercept.
Présentation -- Capacitance [F] -- (PHYS_K = Joules/Kelvin) and electron
v_ic : real := real'low); charge (PHYS_Q = coulomb)
du système function limit_exp( x : real ) return real is
-- Initial voltage use IEEE.FUNDAMENTAL_CONSTANTS.all; variable abs_x : real := abs(x);
--(activated by IF statement below)
variable result : real;
entity diode is begin
port ( terminal p1, p2 : electrical);
Etude generic ( Isat : current := 1.0e-14); -- if abs_x < 100.0 then
Saturation current [Amps] result := exp(abs_x);
end entity capacitor; port ( terminal p, n : electrical); else
-----------------------------------------------
result := exp(100.0) * (abs_x - 99.0);
Projet -- Ideal Architecture (I = C * dV/dt) end entity diode; end if;
-- Includes initial condition
Pédagogique ----------------------------------------------- --------------------------------------------------------- -- If exponent is negative, set exp(-x) =
architecture ideal of capacitor is -- Ideal Architecture: i = is*(exp(v/vt) - 1) 1/exp(x)
--------------------------------------------------------- if x < 0.0 then
quantity v across i through p1 to p2; architecture ideal of diode is result := 1.0 / result;
Conclusion end if;
Begin -- Declare internal quanties and constants return result;
quantity v across i through p to n; end function limit_exp;
if domain = quiescent_domain and v_ic /=
begin -- ideal architecture
real'low use constant TempC : real := 27.0;
v == v_ic; -- Ambient Temperature [Degrees] -- Fundamental equation
else constant TempK : real := 273.0 + TempC; i == Isat*(limit_exp(v/vt) - 1.0);
i == cap * v'dot; -- Fundamental -- Temperaure [Kelvin]
equation constant vt : real := end architecture ideal;
end use; PHYS_K*TempK/PHYS_Q; -- Thermal
end architecture ideal;
12 Concours Professeur Agrégé Voltage
Multiplicateur de tension

Présentation
du système

Etude

Projet
Pédagogique

Conclusion

13 Concours Professeur Agrégé


Immunité aux perturbations
• Sources de perturbations externes

Présentation
• Sources de perturbations internes
du système

Etude • Perturbations conduites et rayonnées

Projet
Boucle et aire de courant Bouclage des Masses
Pédagogique

Conclusion
Capacités parasites du circuit

14 Concours Professeur Agrégé


Immunité aux perturbations
Blindage du boîtier
Matériel amagnétique µr=1, susceptibilité magnétique=0

Présentation
du système

Etude

Projet
Pédagogique

Conclusion Séparation physique des parties différentes et masses

15 Concours Professeur Agrégé


Immunité aux perturbations

Présentation
du système

Etude

Projet
Pédagogique

Conclusion

16 Concours Professeur Agrégé


Immunité aux perturbations
Découplage au plus près des circuits intégrés pour
abaisser l’impédance des lignes lors des perturbations
Présentation
du système

Etude

Projet
Pédagogique

Conclusion

17 Concours Professeur Agrégé


Perturbations
Norme européenne pour les appareils de traitement de l’information

Présentation
du système

Etude

Projet
Pédagogique

Conclusion

18 Concours Professeur Agrégé


Protections contre les décharges électrostatiques

Varistances , MOV V33ZA_

Présentation
du système

Etude

Projet
Pédagogique

Conclusion

19 Concours Professeur Agrégé


Limitation des perturbations
Limitation des variations de tension dans le temps

-----------------------------------------------------------------
--banc d'essai
Présentation -- ______ _____ ____
-- | _ | | | | |
du système -- | _| |_ |---o----| cna |---o-----| RC |
-- |______ | |_____| |____|
--
Etude -----------------------------------------------------------------
LIBRARY DISCIPLINES;
LIBRARY IEEE;
Projet USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;
USE IEEE.MATH_REAL.ALL;
Pédagogique
ENTITY essai IS
END;
Conclusion
ARCHITECTURE behav OF essai IS
TERMINAL n1 : ELECTRICAL;
SIGNAL S1 : bit;
BEGIN
RC1: ENTITY RC (behav) PORT MAP (n1,electrical_ground);
DA1: ENTITY can (behav) PORT MAP
(n1,electrical_ground,S1);
trm: ENTITY trame (behav) PORT MAP (S1);
END;
20 Concours Professeur Agrégé
Bilan de l’impact carbone,
éco-conception

Présentation
du système

Etude

Projet
Pédagogique

Conclusion

21 Concours Professeur Agrégé


Projet pédagogique
• Du réel au réel en passant par le modèle
Présentation • Etude de système, SHEBT
du système
• Une taxonomie CAACAT
Etude
• Référentiel du BTS ATI
Projet
Pédagogique Calculateur pour pilote automatique de bateau

Conclusion UML : Unified Modeling Language


VHDL-AMS: Very high speed integrated circuit Hardware Description Language

Ingénierie Concurrente et éco- conception

22 Concours Professeur Agrégé


Progression
Présentation
du système

Etude

Projet
Pédagogique

Conclusion

23 Concours Professeur Agrégé


Thèmes d’intérêts

Thème 1 : Etude du système pour mettre en


Présentation
perspective l’ensemble d’éléments en
du système
interactions, organisé, répondant à un besoin,
Etude identifiable par une frontière, consommant de
l’énergie et ayant une dynamique.
Projet
Pédagogique

Thème 2 : Etude des méthodes de travail et


Conclusion
solutions constructives

Thème 3 : Les enjeux de l’électronique embarquée


24 Concours Professeur Agrégé
Compétences
• C71 Mettre en œuvre des postes d’essais et mesures
• C6 Organiser et suivre
- Un projet
- La qualité
Présentation - La maintenance
du système - La sécurité
- La qualité
• C55 Etablir et rédiger un compte rendu de réunion
Etude
• C54 Etablir et rédiger un devis
• C51 Etablir et rédiger un cahier des charges
Projet • C52 Etablir et rédiger une notice technique
Pédagogique • C42 Déterminer les performances d’un système par l’expérimentation
• C41 Déterminer les performances d’un système par le calcul
• C31 Concevoir ou modifier une solution technique
Conclusion
• C2 Analyser un document technique, une solution technique, une norme, un protocole
d’essais.
• C14 Dialoguer avec fournisseurs, utilisateurs, clients, personnels
• C13 Présenter oralement un document
• C12 Participer à la veille technologique
• C11 Rechercher et trier des informations pour mettre à jour une base de données ou une
bibliothèque
25 Concours Professeur Agrégé
Savoirs et objectifs

S84 : Les normes


Présentation
du système S841 : Normes et conventions Etre capable d’exécuter les
relatives : schémas dans le cadre d’un
 Aux règles d’exécution des travail collaboratif.
Etude installations,
 Repérage des circuits,
 Outils de représentation
Projet graphique
Pédagogique  Règles d’exécution des
schémas
S842 : Logiciels de DAO Etre capable d’établir un
Conclusion document technique avec le
logiciel ISIS.

26 Concours Professeur Agrégé


Savoirs et objectifs
S101 : Analyse des systèmes existants
Présentation S1011 : Etude fonctionnelle et Etre capable de donner les cas
du système structurelle des systèmes d’utilisation du système.
S1012 : Analyse technologique Etre capable d’appréhender les
contraintes économiques et
Etude environnementales du système.
S1013 : Evaluation des Etre capable de mettre en
performances œuvre le système du laboratoire
Projet et vérifier le mode de marche
Pédagogique CAP
S1014 : Rédaction d’une notice  Etre capable de mettre sous
technique forme de diagramme de
Conclusion séquence la procédure de
réglage à quai.
 Etre capable de réaliser les
schémas

27 Concours Professeur Agrégé


Activités
Travail Collaboratif en bureau d’étude

Présentation Préconditions (Prérequis) :


du système Utilisation de l’outil informatique
Reconnaître le schéma des composants de base
Etude
Postconditions (Acquis) :
Projet L’étudiant sait placer un composant ou en créer un
Pédagogique
L’étudiant sait rappeler le composant qu’il a créé dans la
bibliothèque
L’étudiant reconnait de nouveaux composants
Conclusion
L’étudiant sait documenter son schéma en vue de le laisser à une
autre équipe
L’étudiant sait s’adapter au sein d’une équipe de conception

28 Concours Professeur Agrégé


Activités
BTS ATI 1 Système Calculateur Pilote Travaux pratiques

Thème 2 Travail Collaboratif en bureau d’étude Tp21

Problématique :

Mise à jour d’un même dossier technique par des techniciens répartis sur des sites différents de
Présentation l’entreprise.

Compétences :
du système
C52 : Etablir et rédiger une notice technique.

C11 : Mettre à jour une base de données.


Etude
Objectifs :

Etre capable de faire un schéma structurel à l’aide de l’outil CAO ISIS à partir du schéma
Projet constructeur papier.

Savoirs associés : S1014, S84, S832


Pédagogique
Durée : 4H

Critères d’évaluation :
Conclusion
Choix de l’espace de travail.

Choix des composants normalisés.

Placement des composants

Création de composant n’existant pas en bibliothèque.

Qualité du compte rendu détaillé, en démarche et comprenant le résultat du travail final.

29 Concours Professeur Agrégé


Déroulement de la séquence
Présentation
du système

Etude

Projet
Pédagogique

Conclusion

30 Concours Professeur Agrégé


Travaux Etudiants

Présentation
du système

Etude

Projet
Pédagogique

Conclusion

31 Concours Professeur Agrégé


Conclusion

Présentation Une Approche de systèmes complexes


du système
Utilisation Passionnante d’outils Performants de conception concurrente
Etude

Projet Une Perception de l’éco-conception


Pédagogique

Une Richesse technologique et pédagogique de systèmes embarqués


Conclusion

Des étudiants captivés

32 Concours Professeur Agrégé


Calculateur pour pilote
automatique de bateau

Présentation
du système
Merci de votre
Etude écoute.

Projet
Pédagogique

Conclusion

33 Concours Professeur Agrégé


luyf
Savoirs et objectifs

Savoirs associés Objectifs


Présentation
du système S81 : Les interfaces électroniques

S811 : Convertisseurs  Etre capable d’identifier les


Etude  Convertisseur analogique- différentes technologies de
digital CAN présentes dans le
 Convertisseur digital- système.
Projet analogique  Etre capable de mettre en
Pédagogique œuvre une CAN d’un
microcontrôleur.
Conclusion

38 Concours Professeur Agrégé

Vous aimerez peut-être aussi