Académique Documents
Professionnel Documents
Culture Documents
automatique de bateau
Bilan de l’empreinte carbone et
ingénierie concurrente d’un système
électronique embarqué
Présentation du système
Présentation
du système
Etude
Projet
Pédagogique
Conclusion
Présentation
Position GPS
du système Cap, Position / Nord
magnétique: COMPAS Vent: sens, direction, force
Etude Anémomètre-Girouette
Projet
Pédagogique
Conclusion
Présentation
du système
Etude
Projet
Pédagogique
Conclusion
Présentation
du système
Etude
Projet
Pédagogique
Conclusion
Présentation
du système
Etude
Projet
Pédagogique
Conclusion
Présentation
• Une étude concurrente logicielle et matérielle,
du système (co-design)
Etude
• Problématiques technologiques :
Projet
Pédagogique - Pilotage automatique d’un bateau,
- Gestion de l’énergie d’un système embarqué,
Conclusion
- Immunité et perturbations électromagnétiques,
- Protection contre les décharges électrostatiques,
- Bilan de l’impact carbone, éco-conception.
Présentation
du système
Etude
Projet
Pédagogique
Conclusion
Présentation
du système
Etude
Energie
Projet ESD CEM
Pédagogique
Conclusion
Présentation
du système
Etude
Projet
Pédagogique
Conclusion
Schéma S4, S5
• 312 W max en activité, 500 mW en veille
10 Concours Professeur Agrégé
Multiplicateur de tension
-- genhdl\intermos/intermos.vhd C101 : entity EDULIB.CAPACITOR(IDEAL)
-- Generated by SystemVision netlister 1.0 generic map ( CAP => 10.0E-6 )
build 2006.34.1 port map ( P1 => E_1,
P2 => V_PULSE );
library ieee;
library edulib; C99 : entity EDULIB.CAPACITOR(IDEAL)
Présentation use ieee.std_logic_1164.all; generic map ( CAP => 10.0E-6 ) V_PULSE1 : entity EDULIB.V_PULSE(IDEAL)
use ieee.electrical_systems.all; port map ( P1 => E_2, generic map ( AC_MAG => 1.0,
du système use ieee.mechanical_systems.all; P2 => V_12V ); PERIOD => 4.4 S,
use ieee.fluidic_systems.all; PULSE => 12.0,
use ieee.thermal_systems.all; C105 : entity EDULIB.CAPACITOR(IDEAL) WIDTH => 2.2 S )
use ieee.radiant_systems.all; generic map ( CAP => 10.0E-6 ) port map ( POS => V_PULSE,
Etude use work.all; port map ( P1 => S_OUT, NEG => ELECTRICAL_REF );
P2 => E_2 );
entity INTERMOS is V1 : entity EDULIB.V_CONSTANT(IDEAL)
end entity INTERMOS; C100 : entity EDULIB.CAPACITOR(IDEAL) generic map ( LEVEL => 12.0 )
Projet generic map ( CAP => 10.0E-6 ) port map ( POS => V_12V,
architecture arch_INTERMOS of INTERMOS is port map ( P1 => S_OUT, NEG => ELECTRICAL_REF );
Pédagogique terminal V_PULSE: ELECTRICAL; P2 => ELECTRICAL_REF );
terminal E_1: ELECTRICAL; end architecture arch_INTERMOS;
terminal E_2: ELECTRICAL; D22 : entity EDULIB.DIODE(IDEAL)
terminal V_12V: ELECTRICAL; port map ( P => V_12V,
Conclusion terminal E_3: ELECTRICAL; N => E_1 );
terminal S_OUT: ELECTRICAL; D23 : entity EDULIB.DIODE(IDEAL)
port map ( P => E_1,
begin N => E_2 );
Présentation
du système
Etude
Projet
Pédagogique
Conclusion
Présentation
• Sources de perturbations internes
du système
Projet
Boucle et aire de courant Bouclage des Masses
Pédagogique
Conclusion
Capacités parasites du circuit
Présentation
du système
Etude
Projet
Pédagogique
Présentation
du système
Etude
Projet
Pédagogique
Conclusion
Etude
Projet
Pédagogique
Conclusion
Présentation
du système
Etude
Projet
Pédagogique
Conclusion
Présentation
du système
Etude
Projet
Pédagogique
Conclusion
-----------------------------------------------------------------
--banc d'essai
Présentation -- ______ _____ ____
-- | _ | | | | |
du système -- | _| |_ |---o----| cna |---o-----| RC |
-- |______ | |_____| |____|
--
Etude -----------------------------------------------------------------
LIBRARY DISCIPLINES;
LIBRARY IEEE;
Projet USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;
USE IEEE.MATH_REAL.ALL;
Pédagogique
ENTITY essai IS
END;
Conclusion
ARCHITECTURE behav OF essai IS
TERMINAL n1 : ELECTRICAL;
SIGNAL S1 : bit;
BEGIN
RC1: ENTITY RC (behav) PORT MAP (n1,electrical_ground);
DA1: ENTITY can (behav) PORT MAP
(n1,electrical_ground,S1);
trm: ENTITY trame (behav) PORT MAP (S1);
END;
20 Concours Professeur Agrégé
Bilan de l’impact carbone,
éco-conception
Présentation
du système
Etude
Projet
Pédagogique
Conclusion
Etude
Projet
Pédagogique
Conclusion
Problématique :
Mise à jour d’un même dossier technique par des techniciens répartis sur des sites différents de
Présentation l’entreprise.
Compétences :
du système
C52 : Etablir et rédiger une notice technique.
Etre capable de faire un schéma structurel à l’aide de l’outil CAO ISIS à partir du schéma
Projet constructeur papier.
Critères d’évaluation :
Conclusion
Choix de l’espace de travail.
Etude
Projet
Pédagogique
Conclusion
Présentation
du système
Etude
Projet
Pédagogique
Conclusion
Présentation
du système
Merci de votre
Etude écoute.
Projet
Pédagogique
Conclusion