Vous êtes sur la page 1sur 54

Génie Electrique:

Electromécanique des Systèmes Automatisés

M202: Logique séquentielle

Présentée par : Leila Ammeh


1
Chapitre 3: Outils de la Gestion de Production
Plan:
❑ Introduction

❑ Bascules

❑ Registres

❑ Compteurs asynchrones

2
Logique séquentielle
Introduction :
❑Considérons le système séquentiel suivant permettant de commander la rotation d’un moteur
à partir d’une commande CM.

❑Table de fonctionnement :

3
Logique séquentielle
Introduction :

❑On constate que le seul état des entrées ne permet pas de donner l’état des sorties. En effet,
pour les entrées m=0 et a=0, la sortie peut être CM=0 ou CM=1.

❑La variable d’état interne x permet de lever cette ambiguïté en mémorisant l’état du dernier
bouton actionné : Soit x=1 lorsque le bouton marche a été actionné et x=0 lorsque le bouton
arrêt a été actionné.

4
Logique séquentielle
Introduction :

❑Dans un système combinatoire, les sorties ne dépendent que de l’état des entrées à un instant
donné.

❑La fonction de sortie des systèmes séquentiels dépend en plus des états des entrées et des
états antérieurs des sorties.

5
Logique séquentielle
Introduction :

❑ Circuits de logique séquentielle : circuits dans lesquels le temps intervient dans la


définition des sorties.

❑Logique séquentielle asynchrone : les changements d'état des composants ne dépendent que
du temps de réaction des composants et du temps de propagation des signaux.

❑Logique séquentielle synchrone : les signaux périodiques d'une horloge servent à


synchroniser tous les changements d'état.

❑Un système séquentiel "garde la mémoire" du passé pour déterminer son état présent

6
Logique séquentielle
Définition :
❑Un système séquentiel est un système logique dont l’état des variables de sortie dépend non
seulement de l’état des variables d’entrée mais aussi de l’état précédant des variables de
sortie.

❑Le système se souvient du passé en enregistrant les états précédents de ses sorties, faisant
appel pour cela, à des variables internes, ou mémoires.

7
Logique séquentielle
Rappel :

8
Bascules

9
Logique séquentielle
Bascules :
❑Définition: Une bascule est l’élément de base de la logique séquentielle. C’est une mémoire
élémentaire qui permet de mémoriser une information d’un seul bit (0 ou 1).

❑Modes de commandes :

Une bascule est identifiée par son nom et son mode de commande. On distingue :
▪ Les bascules synchrones.
▪ Les bascules asynchrones.

❑Types de bascules :

Les différents types de bascules sont :

10
Logique séquentielle
Bascule RS :
Définition

❑La bascule RS est une mémoire bistable commandée par deux entrées S (Set) et R (Reset),

elle possède deux sorties Q et 𝑄.

❑L'entrée S permet la mise à 1 de la sortie Q (enclenchement).

❑R permet la mise à 0 (déclenchement).

❑L'action simultanée (S=R=1) donne un état indéterminé.

❑Lorsqu’on met R=S=1, les sorties Q et Qbarre seront égales à 0 toutes les deux. Lorsqu’on
passe à l’état de mémorisation (R=S=0), la bascule devient instable (On ne pourra pas dire
avec certitude son état).

11
Logique séquentielle
Bascule RS :
Symbole

Table de fonctionnement / de vérité

12
Logique séquentielle
Bascule RS :
Table de fonctionnement / de vérité

13
Logique séquentielle
Bascule RS :
Réalisation avec des portes NAND

Réalisation avec des portes NOR

14
Logique séquentielle
Bascule RS :
Chronogramme :

15
Logique séquentielle
Bascule RSH :
Définition

❑C’est une bascule synchrone à entrée d’horloge statique.

❑Dans une bascule synchrone RSH le changement d’état de la sortie qui correspond à une
nouvelle combinaison d’états d’entrées ne peut s’effectuer que sur le front actif, montant
ou descendant, d’un signal d’horloge.

❑Trois entrées : S (Set), R (Reset) et H (entrée d’horloge active sur front montant ou
descendant du signal).


❑Deux sorties complémentaires : Q et 𝑄.

16
Logique séquentielle
Bascule RSH / RST :
Symbole :

Active sur le front montant Active sur le front descendant

Table de fonctionnement / vérité :

17
Logique séquentielle
Bascule JK :
Définition:

❑Deux entrées : J et K

❑ Une entrée d’horloge H.


❑Deux sorties complémentaires Q et 𝑄.

❑ Elle permet de lever l'ambiguïté des bascules RST.

18
Logique séquentielle
Bascule JK :
Définition:

❑Deux entrées : J et K

❑ Une entrée d’horloge H.


❑Deux sorties complémentaires Q et 𝑄.

❑ Elle permet de lever l'ambiguïté des bascules RST.

19
Logique séquentielle
Bascule JK :
Symbole :

Table de fonctionnement / vérité :

20
Logique séquentielle
Bascule JK maître-esclave :
Définition:

❑Elle est constituée de deux bascules JK, l’une maître, l’autre esclave, commutant à des
niveaux différents du signale d’horloge.

❑La bascule maître reçoit les informations d’entrée et le front actif du signal d’horloge.

❑La bascule esclave recopie la bascule maître sur le front opposé de l’horloge.

21
Logique séquentielle
Bascule JK maître-esclave :
Symbole :

22
Logique séquentielle
Bascule D (delay) :
Définition

❑La bascule D est une bascule synchrone à une seule entrée de donnée.

❑Une entrée : D (Data)

❑ Une entrée d’horloge H.


❑Deux sorties complémentaires Q et 𝑄.

❑Fonctionnement :

▪ Quand le signal d’horloge est actif, la sortie recopie l’entrée D (Fonction écriture).

▪ Quand le signal d’horloge est inactif, la sortie conserve l’état de sortie précédent (Fonction
mémoire). 23
Logique séquentielle
Bascule D :
Symbole

Table de fonctionnement / vérité

24
Logique séquentielle
Bascule D :
Symbole

Table de fonctionnement / vérité

25
Logique séquentielle
Bascule T (toggle) :
Définition

❑La sortie change d’état à chaque apparition d’un front actif d’horloge.

❑Une entrée d’horloge.

❑Deux sorties complémentaires.

26
Logique séquentielle
Bascule T (Toggle) :
Symbole:

Table de fonctionnement :

27
Registres

28
Logique séquentielle
Registres :
Généralités :

❑Un registre mémorise un mot binaire de n bits, il est constitué de n mémoires élémentaires
qui sont les bascules.

❑Un registre est un ensemble de cellules mémoire pouvant de stocker des informations
binaires.

❑Les données peuvent être écrites/lues en même temps (parallèle) ou une après l’autre (série).

❑Le nombre de bits du registre correspond au nombre de cellules mémoire (nombre de bascule
RSH, D ou JK) du registre.

29
Logique séquentielle
Registres :
Généralités :

❑On note que toutes les entrées d’horloge (H) des cellules sont reliées (ligne d’écriture).

30
Logique séquentielle
Registres :
Types :

❑SISO: SERIAL IN SERIAL OUT

31
Logique séquentielle
Registres :
Types :

❑SIPO: SERIAL IN PARALLEL OUT

32
Logique séquentielle
Registres :
Types :

❑PISO: PARALLEL IN SERIAL OUT

33
Logique séquentielle
Registres :
Types :
❑PIPO: PARALLEL IN PARALLEL OUT

34
Logique séquentielle
Registre à décalage (série / SISO):

❑Ce type de registre est principalement utilisé comme mémoire d’information dynamique ;

❑La fonction de décalage consiste de faire glisser l’information de chaque cellule élémentaire
dans une autre cellule élémentaire adjacente.

35
Logique séquentielle
Registre à décalage (série / SISO):
Exercice :
Donner les chronogrammes des sorties Q0, Q1,
Q2 et Q3, pour les entrées D et H suivantes :

36
Logique séquentielle
Registre à décalage (série / SISO):

Registre à décalage à droite


37
Logique séquentielle
Registre à décalage (série / SISO):
Registre à décalage à gauche :

38
Logique séquentielle
Registre de mémorisation (parallèle / PIPO):
❑Le mode parallèle signifie que l’ensemble des bits du mot binaire sont mémorisés
simultanément dans en une seule opération.

39
Logique séquentielle
Registre de mémorisation (parallèle / PIPO):
❑Le mode parallèle signifie que l’ensemble des bits du mot binaire sont mémorisés
simultanément dans en une seule opération.

40
Logique séquentielle
Registres mixtes (SIPO / PISO):
Entrée Série – Sortie Parallèle

41
Logique séquentielle
Registres mixtes (SIPO / PISO):
Entrée Parallèle – Sortie Série

42
Compteurs

43
Logique séquentielle
Compteurs :
Généralités :

❑Un compteur est un circuit logique séquentiel constitué d’un ensemble de n bascules
interconnectées.

❑Il permet de dénombrer ou compter en binaire le nombre d’impulsions appliquées à son


entrée horloge.

❑ Il reçoit les impulsions à compter et délivre en permanence en sa sortie une combinaison, des
états logiques, image du nombre d’impulsions reçues.

44
Logique séquentielle
Compteurs :
Généralités :

❑ Un compteur de n bascule permet de compter au maximum 2n combinaisons binaires.

❑Le nombre total N des combinaisons que le compteur peut compter est appelé le modulo du
compteur.

❑ Un compteur modulo N peut compter de 0 à N-1.

2 n-1 < N ≤ 2 n

45
Logique séquentielle
Compteurs :
Caractéristiques :

❑ Sens de comptage: Compteur / décompteur.

❑ Mode de comptage : Type de basculement asynchrone ou synchrone du compteur.

❑ Modulo de comptage : Capacité du compteur exprimant le nombre d’états logiques


différents que peut prendre la valeur de sortie sur l’ensemble du cycle de comptage.

❑Cycle de comptage : précise si la valeur de sortie utilise ou non toutes les combinaisons
possibles.

▪ Comptage à cycle complet


▪ Comptage à cycle incomplet

46
Logique séquentielle
Compteurs asynchrones :
❑Un compteur asynchrone est constitué de n bascules JK fonctionnant en mode T (Toggle) :
J=K=1.

❑Ces bascules sont montées en cascade c'est-à-dire le signal d’horloge commande uniquement
la première bascule.

❑Tandis que pour chacune des autres bascules le signal d'horloge est fourni par la sortie de la
bascule de rang immédiatement inférieur.

47
Logique séquentielle
Compteurs asynchrones à cycle complet:

48
Logique séquentielle
Compteurs asynchrones à cycle complet:
Table de séquence :

49
50
Logique séquentielle
Compteurs asynchrones à cycle incomplet:
Compteur asynchrone modulo 10 :
❑Soit à concevoir un compteur asynchrone modulo 10 qui compte de 0 à 9.

❑Le nombre n des bascules nécessaires pour la réalisation du compteur est tel que :
10 < 2n soit n=4.
❑Avec 4 bascules, le modulo du compteur est 16 donc pour avoir un modulo 10 on
procède à un forçage à 0 du compteur à la combinaison N=10 soit
Q3Q2Q1Q0=1010.

❑Le forçage à 0 du compteur consiste à mettre à 0 toutes les sorties Qi des 4


bascules.
❑On suppose que pour mettre Qi à 0 on doit mettre l’entrée de forçage asynchrone
Clri à 1.

51
Logique séquentielle

52
Logique séquentielle

53

Vous aimerez peut-être aussi