Vous êtes sur la page 1sur 64

Université des Sciences et de la Technologie Houari Boumediene

Faculté de Génie Electrique


Département d’Électrotechnique

2ème Année Licence


Electrotechnique
Logique combinatoire et séquentielle

Circuits séquentiels

Dr. Karim BELHOUL


Maître de Conférences
USTHB, Département Électrotechnique
Laboratoire des Systèmes Electriques et Industriels (LSEI)
Mail: kbelhoul@usthb.dz
Quelques définitions

➢ Circuit séquentiel : Les fonctions de sortie dépendent non seulement de l’état des
variables d’entrée mais également de l’état antérieur (passé) de certaines variables de
sortie (propriétés de mémorisation).

Circuit
séquentiel

Schéma bloc circuit séquentiel

➢ Circuit combinatoire : Les fonctions de sortie s’expriment selon des expressions logiques
des seules variables d’entrée.

Circuit
combinatoire

Schéma bloc circuit combinatoire


Quelques définitions

➢ Circuit asynchrone : Les variables du système évoluent librement au cours du temps.

Circuit
asynchrone

Schéma bloc circuit séquentiel asynchrone

➢ Circuit synchrone : L’évolution des variables dépend d’une impulsion d’horloge comme un
des signaux d’entrée. H

Circuit
synchrone

Schéma bloc circuit séquentiel synchrone


Notion d’horloge

➢ Une horloge, noté par H ou ck (clock), est une variable logique qui passe successivement
de 0 à 1 et de 1 à 0 d’une façon périodique.

Fréquence = 1/T = nombre de changement par seconde en hertz (Hz)


Les principaux circuits séquentiels

Les principaux circuits séquentiels sont :

I. Les bascules
1.La bascule RS
2.La bascule RSH
3.La bascule JK
4.La bascule D
5.La bascule T

II. Les compteurs


1.Les compteurs asynchrone
2.Les compteurs synchrones

III. Les registres


1.Les registres EP-SP
2.Les registres ES-SS
3.Les registres ES-SP
4.Les registres EP-SS
Les bascules

➢ La bascule (flip-flop) est l’élément de base de la logique séquentielle. Elle est constitué de
portes logiques dont la fonction principale est la fonction de mémorisation.

➢ La bascule est un circuit bistable pouvant prendre deux états logiques "0" ou "1". Elle
possède deux sorties qui sont toujours complémentaires (𝑄 et 𝑄).

➢ Une bascule peut posséder une horloge (bascule synchrone ) ou non (bascule asynchrone).

➢ L'état de la bascule peut être modifié en agissant sur une ou plusieurs entrées. Le nouvel
état de la bascule dépend de l'état précédent, c'est l'élément de base des circuits
séquentiels.

➢ La bascule peut conserver son état pendant une durée quelconque, elle peut donc être
utilisée comme mémoire.
Les bascules

𝑸
Entrées Bascule Sorties
𝑸

Schéma fonctionnel d’une bascule

𝑄 : est la variable de sortie de la bascule. Elle fournit l’état de la bascule. Si Q=1, on dit
que l’état de la bascule est à 1. Sinon, l’état de la bascule est à 0.

𝑄 : est l’inverse de la variable de sortie Q.


Nom de la bascule
À chaque bascule est associé un nom. Il indique les entrées de la bascule en question. On
distingue quatre bascules de base :
La bascule RS;
La bascule JK;
La bascule T;
La bascule D.
Les bascules

1. La bascule RS

La bascule RS est un circuit formé de deux portes logiques NOR (NON OU) ou NAND (NON ET).

Ce circuit possède :

✓ Deux entrées S (Set) pour la mise à 1 de la bascule et R (Reset) pour la mise à 0 de la bascule.
✓ Deux sorties 𝑄 et 𝑄.

Technologie NOR Technologie NAND

Schéma de la bascule RS
Les bascules

1. La bascule RS

Pour comprendre le fonctionnement de la bascule RS, on va étudier le comportement des


variables de sortie (𝑄 et 𝑄) en fonction des variables d’entrée (R et S).

Pour cela, on désigne par :

𝑄𝑡 : la variable de sortie à l’instant t (l’état présent de la variable).


𝑄𝑡+1 : la variable de sortie à l’instant t+1 (l’état futur de la variable).

Technologie NOR Technologie NAND

Schéma de la bascule RS
Les bascules

1. La bascule RS

Pour S=R=0 ; en supposant que 𝑄𝑡 = 0. On aura le schéma suivant :

Ce schéma montre bien que, lorsque 𝑄𝑡 = 0, la variable de sortie de la porte NOR supérieure
est à 1 (𝑆 + 𝑄𝑡 = 0 + 0 = 1). Donc, on aura 𝑄𝑡 = 1.

Du moment que 𝑄𝑡 = 1, la variable de sortie de la porte NOR inférieure sera à 0 (𝑄𝑡 + 𝑅 =


1 + 0 = 0) et on a 𝑄𝑡+1 = 0.

C’est-à-dire 𝑄𝑡+1 = 𝑄𝑡 , on dit que l’état de la bascule est stable (état mémoire).
Les bascules

1. La bascule RS

Pour S=R=0 ; en supposant que 𝑄𝑡 = 1. On aura le schéma suivant :

𝑆 = 0 ; 𝑄𝑡 = 1 (𝑆 + 𝑄𝑡 = 0 + 1 = 0). Donc, on aura 𝑄𝑡 = 0.

𝑄𝑡 = 0 ; 𝑅 = 0 (𝑄𝑡 + 𝑅 = 0 + 0 = 1). Donc, on aura𝑄𝑡+1 = 1.

Dans ce cas aussi, on a 𝑄𝑡+1 = 𝑄𝑡 .

On peut résumer le comportement de la bascule RS par la table vérité suivante :


Les bascules

1. La bascule RS

R S 𝑸𝒕 𝑸𝒕+𝟏 𝑸𝒕+𝟏
0 0 0 0 1
0 0 1 1 0 R S 𝑸𝒕+𝟏
0 1 0 1 0 0 0 𝑄𝑡 Etat mémoire
0 1 1 1 0 0 1 1 Mise (Set) à 1
1 0 0 0 1 1 0 0 Remise (Reset) à 0
1 0 1 0 1 1 1 X Etat interdit
1 1 0 X X
1 1 1 X X

Table caractéristique de la bascule RS

À partir de cette table, on peut déduire les expressions algébriques de 𝑄𝑡+1 et 𝑄𝑡+1 .
Les bascules

1. La bascule RS

Pour obtenir des fonctions simplifiées, on utilise une table de Karnaugh :

L’expression simplifié de 𝑄𝑡+1 est :


𝑄𝑡+1 = 𝑅𝑄𝑡 + 𝑆

Cette équation est appelée équation caractéristique de la bascule RS.

L’expression simplifié de 𝑄𝑡+1 est :


𝑄𝑡+1 = 𝑆𝑄𝑡 + 𝑅
Les bascules

1. La bascule RS

Chronogramme de la bascule RS
Les bascules

2. La bascule RSH (RST)

Schéma de la bascule RSH


La bascule RSH est une bascule RS synchronisée par un signal d’horloge H.

H R S 𝑸𝒕+𝟏
0 X X 𝑄𝒕 Mémorisation
1 0 0 𝑄𝒕
1 0 1 1
Bascule RS
1 1 0 0
1 1 1 X
Les bascules

2. La bascule RSH (RST)

Chronogramme de la bascule RSH


Les bascules

3. La bascule JK (Asynchrone)
La bascule JK est une variante de la bascule RS où on prend en compte le cas ou R=S=1. En
effet, quand les deux variables d’entrée passent simultanément à 1 l’état de la bascule
n’est pas indéterminé (on obtient la fonction de complémentarité 𝑄𝑡+1 = 𝑄𝑡 ).

Schéma de la bascule JK asynchrone

J K 𝑸𝒕+𝟏
0 0 𝑄𝒕 Etat mémoire
0 1 0 Remise à 0
1 0 1 Mise à 1
1 1 𝑄𝒕 Basculement
Les bascules

3. La bascule JK (Asynchrone)

J K 𝑸𝒕 𝑸𝒕+𝟏
0 0 0 0 Etat mémoire
0 0 1 1
0 1 0 0 Remise à 0
0 1 1 0
1 0 0 1 Mise à 1
1 0 1 1
1 1 0 1 Basculement
1 1 1 0
Table caractéristique de la bascule JK

À partir de la table de Karnaugh, on obtient l’expression de 𝑄𝑡+1 dans le cas de la bascule JK :


𝑄𝑡+1 = 𝐾𝑄𝑡 + 𝐽𝑄𝑡
Les bascules

3. La bascule JK (Asynchrone)

L’expression simplifié de 𝑄𝑡+1 est 𝑄𝑡+1 = 𝐾𝑄𝑡 + 𝐽𝑄𝑡

A partir de cette expression, on peut élaborer la table suivante qui est d’une très grande
utilité lors de réalisation d’un circuit séquentiel à base de bascule JK.

𝑸𝒕 𝑸𝒕+𝟏 J K
0 0 0 X La valeur que peut prendre K n’a aucun effet
0 1 1 X sur l’état de la bascule.

1 0 X 1 La valeur que peut prendre J n’a aucun effet


1 1 X 0 sur l’état de la bascule.
Les bascules

3. La bascule JK (Synchrone)

C’est une bascule avec deux entrées J et K et une horloge (front montant ou descendant).

𝑸𝒕+𝟏

𝑄𝑡

𝑄𝑡

𝑄𝑡
Les bascules

3. La bascule JK (Synchrone)

Chronogramme de la bascule JK synchrone (front descendant)


Les bascules

4. La bascule D (Latch)
Une autre manière de résoudre le problème d’ambiguïté rencontrée ave la bascule RS
lorsque R=S=1, est de faire en sorte que ce cas ne se présente jamais à l’entrée de la
bascule. Pour cela, on n’utilise une seule variable d’entrée externe D et on parle alors de la
bascule D. elle est illustrée par le schéma suivant :

Schéma de la bascule D
La variable d’entrée de la porte AND supérieure étant égale à 𝐷, les entrées internes de la
bascule ne peuvent jamais être identiques.
- Si D=1, alors la bascule passe à l’état 1.
- Si D=0, alors la bascule passe à l’état 0.
Il faut noter toutefois, que l’entrée D n’a d’effet que si H est à l’état haut (=1).
Les bascules

4. La bascule D (Latch)

D 𝑸𝒕 𝑸𝒕+𝟏
0 0 0 𝑄𝑡+1 = 𝐷 = 0
0 1 0
1 0 1 𝑄𝑡+1 = 𝐷 = 1
1 1 1
Table caractéristique de la bascule D

À partir de la table de Karnaugh, on obtient l’expression de 𝑄𝑡+1 dans le cas de la bascule D :


𝑄𝑡+1 = 𝐷
Les bascules

4. La bascule D (Latch)

C’est une bascule synchrone sur niveau Haut ou niveau Bas :

𝑸𝒕+𝟏 𝑸𝒕+𝟏

𝑄𝑡+1
𝑄𝑡+1 𝑄𝑡
𝑄𝑡 𝑄𝑡
Les bascules

4. La bascule D (Latch)

Chronogramme (niveau haut) de la bascule D


Les bascules

5. La bascule T (Toggle)
La bascule T ressemble à une bascule JK à une seule entrée. Son schéma est le suivant :

H 𝐐

Schéma de la bascule T

Chaque fois qu’une impulsion arrive, les états de la bascule (sorties) sont inversés
(complémentés).
- Si T=0, alors, pas de changement.
- Si T=1, à ce moment- là, il y a complémentation des variables de sortie.
Les bascules

5. La bascule T (Toggle)

T 𝑸𝒕 𝑸𝒕+𝟏
0 0 0 𝑄𝑡+1 = 𝑄𝑡
0 1 1 Pas de changement

1 0 1 𝑄𝑡+1 = 𝑄𝑡
1 1 0 Complémentation

Table caractéristique de la bascule T

À partir de la table de Karnaugh, on obtient l’expression de 𝑄𝑡+1 dans le cas de la bascule T :


𝑄𝑡+1 = 𝑇𝑄𝑡 + 𝑇𝑄𝑡 = 𝑇⨁𝑄𝑡
Les bascules

5. La bascule T (Toggle)

La bascule T bascule à chaque impulsion d’horloge (front montant ou descendant) lorsque


son entrée T est active.

𝑸𝒕+𝟏
𝑄𝑡+1

𝑄𝑡

𝑄𝑡

𝑄𝑡
Les bascules

5. La bascule T (Toggle)

Chronogramme (front montant) de la bascule T


Les bascules

6. Bascules maître-esclave
Une bascule de type Maître-Esclave est constituée de deux cellules élémentaires en
cascade. Le chargement du signal d’entrée passe en premier dans la cellule Maître, puis est
transféré dans la cellule Esclave.
La bascule RSH maître-esclave
Une bascule RSH Maître-Esclave est constituée de deux bascules RS synchrones (la première
appelée Maître, la seconde Esclave) reliées en cascade, et d’un inverseur ajouté à l’entrée
de l’horloge de la bascule Esclave, comme le montre la figure ci-dessous.

Schéma de la bascule RSH maître-esclave


Les bascules

6. Bascules maître-esclave
La bascule RSH maître-esclave

Structure interne de la bascule RSH maître-esclave


Avec cette structure Maître-Esclave, les sorties de la bascule peuvent commuter seulement
quand CLK passe de 1 à 0. De ce fait, la sortie Q de la bascule R-S M/E change au moment
des fronts descendants de CLK selon la table de vérité ci-dessous.

Table de vérité de la bascule RSH maître-esclave


Les compteurs

➢ Un compteur est une association de n bascules permettant de décrire, au rythme d’une


horloge, une séquence déterminée.

➢ Cette séquence est appelée cycle du compteur.

➢ Une combinaison de sortie d’un compteur (Qn-1....... Q1Q0) est appelée état.

➢ Le nombre d’états différents (Si) pour un compteur est appelé le modulo (m) de ce
compteur : m≤2n

➢ Un compteur modulo N démarre à 0 et compte dans l'ordre binaire naturel jusqu‘à N-1.
Les compteurs

Exemple: Compteur modulo 4 (cycle complet)


Les compteurs

Exemple: Compteur modulo 16 (cycle complet)


Les compteurs

Exemples:
Les compteurs

➢ Selon le cycle des compteurs, nous distinguons entre:

✓ Les compteurs modulo 2n (cycle complet)

▪ n=2 : 0,1,2,3,0 modulo 4


▪ n=3 : 0,1,2,3,4,5,6,7,0 modulo 8
▪ N=4 : 0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,0 modulo 16

✓ Les compteurs modulo N (cycle incomplet)

▪ Pour N=5 : 0,1,2,3,4,0 n=3


▪ Pour N=10 : 0,1,2,3,4,5,6,7,8,9,0 n=4

✓ Les compteurs à cycle quelconque

▪ 0,2,3,6,0 n=3
▪ 0,2,5,6,7,8,10,0 n=4
Les compteurs

➢ On applique aussi souvent un qualificatif pour caractériser un compteur, selon le codage


des états et le nombre de ceux-ci :

✓ Compteur binaire

▪ Les états correspondent à un codage binaire naturel des variables d’état.


▪ Si un compteur possède 4 sorties Qa, Qb, Qc et Qd, le nombre de code possible sera 24= 16.
▪ Le compteur peut compter jusqu'à 15 : 0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15.

✓ Compteur décimal ou compteur BCD

▪ Il s’agit d’un codage BCD des variable d’état. cela signifie que le compteur ne pourra
compter au-delà de la valeur 9, et donc la prochaine valeur correspondra à la valeur de
départ 0.
▪ Un compteur décimal possède 4 sorties binaires Qa, Qb, Qc et Qd comme un compteur
binaire. Mais le nombre de codes possibles en sortie ne sera que de 10, du code
(0000)2=(0)10 au code (1001)2=(9)10.
Les compteurs

➢ Selon l’horloge des bascules, nous distinguons entre :

✓ Les Compteurs Asynchrones : les bascules possèdent des horloges différentes.

✓ Les Compteurs Synchrones : les bascules possèdent la même horloge.

Remarque
Lorsque la succession des d’états correspond à un ordre croissant, on utilise le terme de
compteur, et dans le cas contraire, le terme de décompteur.
Les compteurs

➢ Des fonctions auxiliaires peuvent aussi être présentes sur les compteurs.

• Blocage d'horloge ou inhibition ;

• Changement de sens de comptage (up/down) ;

• Changement de mode de comptage (binaire/décimal) ;

• Remise à zéro ;

• Pré-chargement parallèle (positionnement du compteur à une certaine valeur) ;

• Sortie de retenue (pour mise en cascade de compteur)…;


Les compteurs

1. Les compteurs asynchrones

Principe de fonctionnement :

De manière générale, seule la première bascule reçoit le signal d'horloge. Toutes les
bascules qui suivent celle-ci sont commandées par la bascule précédente (la deuxième
bascule reçoit comme signal d’horloge le signal de sortie de la précédente et ainsi de
suite). D’une manière générale, le signal d’horloge d’une bascule de rang i n’est autre que
le signal de sortie de la bascule de rang i-1.
Les compteurs

1. Les compteurs asynchrones

Exemple: Compteur modulo 23


Un exemple de compteur pouvant être réalisé de manière asynchrone est celui du
compteur binaire qui compte de 0 jusqu’à 7.

Soient Q2, Q1et Q0 les sorties des bascules utilisées pour réaliser un tel compteur. Le
tableau suivant montre l’évolution des différents états des bascules de ce compteur
après chaque impulsion d’horloge. D’après la séquence de comptage à réaliser, on note
que :

- La bascule de sortie Q0 doit changer sur chaque front de l'horloge ;

- La bascule de sortie Q1 doit changer à chaque fois que la sortie Q0 de la bascule


précédente passe de 1 à 0 (front) ;

- La bascule de sortie Q2 doit changer à chaque fois que la sortie Q1 de la bascule


précédente passe de 1 à 0 (front).
Les compteurs

1. Les compteurs asynchrones

Exemple: Compteur modulo 23


Les compteurs

1. Les compteurs asynchrones

Exemple: Compteur modulo 23


Les compteurs

1. Les compteurs asynchrones

Quelles sont les bascules appropriées pour construire les compteurs asynchrones ?
Les compteurs

1. Les compteurs asynchrones

Quelles sont les bascules appropriées pour construire les compteurs asynchrones ?

Les bascules synchrones sur front qui permettent de réaliser l’état de


basculement 𝑸𝒕+𝟏 = 𝑸𝒕

Exemple: Mise en cascade de bascules T


Les compteurs

1. Les compteurs asynchrones

Parmi les inconvénients des compteurs asynchrones :

✓ Accumulation de retards de commutation

✓ Apparition d’états transitoires non désirés


Les compteurs

2. Les compteurs synchrones

Principe de fonctionnement :

Un compteur synchrone est une structure où toutes les bascules reçoivent le même signal
d’horloge. La fonction comptage est réalisée par l’intermédiaire des fonctions appliquées
sur les entrées synchrones des bascules.
Les compteurs

2. Les compteurs synchrones

Exemple 1 : Compteur modulo 23 (bascule JK)

J0=K0=1 ; J1=K1=Q0 ; J2=K2=Q0.Q1


Les compteurs

2. Les compteurs synchrones

Exemple 2 : Compteur modulo 23 (bascule D)

D0=𝑸𝟎 ; D1=Q1⊕Q0 ; D2=Q2⊕(Q1.Q0)


Les compteurs

2. Les compteurs synchrones

Exemple 3 : Compteur modulo 6 (bascule JK)


Les compteurs

2. Les compteurs synchrones

Exemple 3 : Compteur modulo 6 (bascule JK)


Les compteurs

les tables d’excitation des différents types de Bascules

1. Tables d’excitation de la Bascule RS 3. Tables d’excitation de la Bascule D

2. Tables d’excitation de la Bascule JK 4. Tables d’excitation de la Bascule T


Les registres

➢ Un registre est un circuit séquentiel synchrone (avec une commande d’horloge, constitué
de n bascules permettant de stocker temporairement un mot (une information) binaire de
n bits dans l’objectif de son transfert dans un autre circuit (pour affichage, mémorisation,
traitement, etc.).
➢ Les registres permettent de réaliser certaines opérations: la mémorisation, le décalage et
le transfert d’une suite de bits.

➢ Il existe plusieurs types de registres :

▪ Registre à Entrées Parallèles et Sorties Parallèles (EP-SP ou PIPO).


▪ Registre à Entrée Série et Sortie Série (ES-SS ou SISO).
▪ Registre à Entrée Série et Sortie Parallèle (ES-SP ou SIPO).
▪ Registre à Entrée Parallèle et Sortie Série (EP-SS ou PISO).
Les registres

1. Les registres EP-SP

Les registres EP-SP sont des registres de mémorisation :

✓ Si H=⬆ : Lecture des bits d’entrées.


✓ Sinon : Etat mémoire.

Quelle est la bascule approprié pour construire les registres EP-SP ?

La bascule D car c’est une bascule synchronisé (sur front montant) permettant la
lecture et la mémorisation d’un bit.
Les registres

1. Les registres EP-SP

Exemple 1 : Registre EP-SP (à 4 bits) en utilisant des bascules D


Les registres

1. Les registres EP-SP

Exemple 2 : Registre élémentaire EP-SP en utilisant des bascules D

L’entrée W ordonne l’écriture des entrées dans le registre, l’entrée R ordonne la lecture
des valeurs mémorisées (Si R = 0 alors les sorties sont à 0).
Les registres

2. Les registres ES-SS

Les registres ES-SS sont des registres à décalage (gauche, droite ou circulaire).
Les registres

2. Les registres ES-SS

Exemple : la multiplication par 2n


Soi : N = (3)10 = (0011)2
2 x N = (6)10 = (0110)2
2 x (2 x N) = (12)10 = (1100)2

On constate que pour effectuer la multiplication d’un nombre par deux, il suffit de décaler
tous les bits du nombre d’un cran vers la gauche (vers le bit de poids le plus fort).

De la même façon, pour réaliser la division d’un nombre par 2, il suffit de décaler tous les
bits du nombre d’un cran vers la droite (vers le bit de poids le plus faible).
Les registres

3. Les registres ES-SP

Les registres ES-SP sont des registres de décalage :

✓ À gauche : la séquence de sortie est Qn-1……Q1Q0E


✓ À droite : la séquence de sortie est EQn……Q2Q1
Les registres

3. Les registres ES-SP

Exemple : Registre ES-SP (à 4 bits) permettant un décalage à droite


Les registres

4. Les registres EP-SS


Les registres

4. Les registres EP-SS

Exemple : Registre ES-SP (à 4 bits) permettant un décalage à droite


Les registres

Circuit intégré 74LS194A : Registre à décalage universel bidirectionnel 4 bits


Les registres

Circuit intégré 74LS194A : Registre à décalage universel bidirectionnel 4 bits

1 : On remarque que l'entrée de RAZ MR\ est active au niveau 0 et est prioritaire.

2 : Lorsque S0=S1=0, l'état des sorties n'évolue pas. Les états (qn) indiquent l'état des sorties de l'instant précédent.

3 et 4 : En mode décalage à gauche, il faut placer S1 à 1 et S0 à 0, les états des sorties se décalent (par exemple, Q0
prend l'état présent sur Q1 (q1) avant le front montant (FM) sur (CP). Il est important de constater que Q3 prend l'état
présent sur l'entrée DSL.

5 et 6 : Mode décalage à droite.

7 : Mode chargement parallèle (S0=S1=1 et (FM) sur (CP)). Ce mode permet de charger les états logiques des sorties qui
seront décalées. (par exemple, la sortie Q1 prend l'état logique présent sur l'entrée (d1)).

Vous aimerez peut-être aussi