Vous êtes sur la page 1sur 15

Logique séquentielle

Introduction :

La différence essentielle entre le système combinatoire et le système séquentiel


réside dan le fait que la fonction de sortie du système séquentiel dépend à la fois des
variables d’entrées et du temps (état précédent), tendis que pour le système combinatoire la
sortie dépend uniquement des variables d’entrées.

● Logique séquentielle asynchrone : Dans les circuits séquentiels asynchrones, les sorties
changent d’états dès qu’Il y a changements des états des entrées.
● Logique séquentielle synchrone : les signaux périodiques d'une horloge servent à
synchroniser tous les changements d'état.
● Un système séquentiel "garde la mémoire" du passé pour déterminer son état présent

Exemple : système de commande d’un moteur.

Arrêt (a) Tension d’alimentation


Système de commande M
Marche (m) pour le mvt du moteur

Règles de fonctionnement :
• Au repos : m = 0, a = 0 M = 0
• Activation de m : m = 1, a = 0 M = 1
• Relâchement de m : : m = 0, a = 0 M = 1 (mémorisation)
• Activation de a : : m = 0, a = 1 M = 0
• Relâchement de m : : m = 0, a = 0 M = 0 (mémorisation)

Les bascules (RS, JK, mémoire,….) permettent la réalisation de système séquentiel


pour laquelle l’état de sortie dépend à la fois de l’état actuel et des états précédents.

Système logique séquentiel = système logique combinatoire bouclé

Les bascules synchrones fonctionnent selon l'un des trois modes de synchronisation suivants:

Synchronisation Synchronisation Synchronisation


sur un niveau sur un front sur une impulsion

Notion d’état : Prenant l’exemple suivant :

On considère un système à une entrée E et une sortie S, la sortie de système doit


être changer la valeur à chaque front montant de l’entrée E, Ce cahier de charge doit être
représenté par le chronogramme suivant :
Se système n’est pas
combinatoire, on ne peut pas
E
définie S en fonction de E par
contre la valeur de S dépend de
ce qui se passe précédemment.
S (La réalisation de ce système
nécessite des bascules).

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle

Chapitre 6 : Les bascules (Flip-Flop)

Définition :

Une bascule est un dispositif électronique (circuit séquentiel) capable de changer


d’état binaire sur une commande et conserve cet état jusqu’à l’opération d’un autre signal.
La bascule est un élément de base de la logique séquentiel. En effet, en assemblant des
bascules, on peut réaliser des compteurs, des registres, des registres à décalages et des
mémoires.

Chaque bascule possède une ou plusieurs entrées et deux sorties complémentaires


Q et Q .
Q
Entrée Nom de la Sortie
bascule Q

1- Bascule R-S : (Reset-Set)

La bistable RS est la première évolution du circuit mémoire à base d’inverseurs. Il a


deux entrées de commande qui permettent de mettre le bistable dans l’un ou l’autre état: Set
(Remise à Un) et Reset (Remise à Zéro). La valeur météorisée est accessible sur la sortie Q.

Les verrous les plus fréquemment rencontrés sont réalisés avec deux portes NOR ou
NAND. Considérons dans un premier temps le circuit suivant:

R S Qt Qt+1
0 0 0 0
Qt+1 = Qt Mémorisation
0 0 1 1
-----------------------------------------
0 1 0 1
Qt+1 = 1 Mise à 1
0 1 1 1
-----------------------------------------
1 0 0 0
Qt+1 = 0 Mise à 0
1 0 1 0
-----------------------------------------
1 1 0 Φ (X)
Etat indéterminé
1 1 1 Φ (X)

Si S = 1 et R = 1 la sortie Q est forcée à 0 et la sortie Q est forcée à 0.


C’est un cas indéterminé (Non autorisé en fonctionnement normale)

SR 00 01 11 10
Qt
0 0 0 Φ 1
1 1 0 Φ 1 Qt +1 = S + Qt .R Equation caractéristique
de la bascule RS

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle

Bascule RSH : ( H = Clk) (Bascule synchrone)

La bascule RSH est une bascule synchrone dont les entrées n'influent pas sur l'état
de sortie tant qu'une variable nommée horloge n'est pas activée.

En fait une bascule RSH est une bascule RS à laquelle on a ajouté deux portes
NAND, pour former la borne de contrôle d'horloge H. La figure ci-dessous présente le
schéma interne de la bascule RSH.

H R S Qt Qt+1
0 X X 0 0 Qt+1 = Qt Mémorisation (La bascule ne change
0 X X 1 1 pas d’état quelque soit la valeur de RS)
-----------------------------------------
1 0 0 0 0
Qt+1 = Qt Mémorisation
1 0 0 1 1
-----------------------------------------
1 0 1 0 1
Qt+1 = 1 Mise à 1
1 0 1 1 1
-----------------------------------------
1 1 0 0 0
Qt+1 = 0 Mise à 0
1 1 0 1 0
-----------------------------------------
1 1 1 0 Φ (X)
Etat indéterminé
1 1 1 1 Φ (X)

Chronogramme (T = H)

Chronogramme pour le front montant:

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle

2- Bascule J-K : (Bascule synchrone)

Elle présente deux entrées dont l’action sur l’état de la bascule est similaire aux
entrées SR respectivement d’une bascule RS avec la différence que pour J=K=1 l’état de la
sortie est bien défini. Le circuit peut être mise à 1 (Set) sur l’entrée J et mise à 0 (Reset)
pour l’entrée K.

SET et CLR sont des entrées de forçages asynchrones et actives niveau bas.
SET: forçages à 1
CLR: forçages à 0

H J K Qt Qt+1
0 X X 0 0 Qt+1 = Qt Mémorisation (La bascule ne change
0 X X 1 1 pas d’état quelque soit la valeur de JK)
-----------------------------------------
1 0 0 0 0
Qt+1 = Qt Mémorisation
1 0 0 1 1 -----------------------------------------
1 0 1 0 0
Qt+1 = 0 Mise à 0
1 0 1 1 0
-----------------------------------------
1 1 0 0 1
Qt+1 = 1 Mise à 1
1 1 0 1 1
-----------------------------------------
1 1 1 0 1
1 1 1 1 0 Qt+1 = Qt

JK 00 01 11 10
Qt
0 0 0 1 1 Qt +1 = J .Qt + Qt .K Equation caractéristique
de la bascule JK
1 1 0 0 1

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle

- Bascule D : (Delay)

➢ permettent de générer un "retard" (Delay) ou de stocker de l'information (Latch)


➢ En envoyant une donnée D sur l'entrée J et son inverse sur l'entrée K

On peut avoir aussi une bascule D-Latch (Verrou) qui est conçue sur le même
principe que la RSH. Elle est obtenue à partir d'une bascule RSH en ne considérant que les
deux combinaisons (R,S) = (0,1) et (1,0).

H D Qt Qt+1
0 X 0 0 Qt+1 = Qt Mémorisation
0 X 1 1
---------------------------------
1 0 0 0
Qt+1 = D = 0
1 0 1 0
----------------------------------
1 1 0 1
Qt+1 = D = 1
1 1 1 1

DH 00 01 11 10
Qt
0 0 0 1 0 Qt +1 = D.H + H .Qt Equation caractéristique
de la bascule D
1 1 0 1 1

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle

4- Bascule T : (Toggle)

Comme la bascule D, la bascule T fonctionne sur front d’horloge. Elle permet de


conserver la valeur de sortie précédente si T = 0 ou de l’inverser si T = 1. Ce type de bascule
est particulièrement intéressant pour la réalisation de compteurs.

La bascule T peut être réalisée à partir d’une bascule D, ou bien on peut la réalisée à
partir d’une bascule JK. Ces bascules jouent le rôle d’un diviseur par 2 de fréquence.

T 0 1
Qt
T Qt Qt+1 0 0 1
0 0 0 Qt+1 = Qt 1 1 0
0 1 1
------------------
1 0 1
Qt+1 = Qt Qt +1 = T .Qt + T .Qt = T  Qt
1 1 0

Les entrées asynchrones

Ce sont des entrées prioritaires qui imposent un état à la bascule malgré les
commandes lancées par les autres entrées.

En général il y a deux entrées asynchrones: - RAU <== Remise à un.


- RAZ <== Remise à zéro.

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle

Chapitre 7 : Les compteurs


Les compteurs asynchrones:

- Les compteurs sont des circuits séquentiels en mémoire (réalisés à l’aide des bascules), ils
mémorisent le nombre d’impulsion appliquée é l’entrée.
- Dans un compteur asynchrone les impulsions (signal d’horloge) sont appliquées sur
l’entrée d’une seul bascule et l’état de chaque bascule dépend des états des bascules
précédents (les bascules ne change pas d’état en même temps).
- Les compteurs sont classés selon leurs type de séquence, (binaire naturel, binaire réfléchie,
BCD,….), le nombre d’états (modulo) ou le nombre de bascules qu’ils comportent.
Soit le schéma d’un compteur asynchrone de 3 bits (3 bascules) à front descendant
(compteur modulo 8)

"1"
J1 Q1 J2 Q2 J3 Q3
H ck1 (A) ck2 (B) ck3 (C)
K1 Q1 K2 Q2 K3 Q3

La bascule A c’est la bascule de plus faible poids. L’évolution temporelle des 3 sorties Q1,
Q2 et Q3 par rapport aux impulsions d’horloges est représentée sur la figure suivante :

1 1 1 1

0 0 0 0 0

1 1 1 1

0 0 0 0 0

1 1 1 1

0 0 0 0 0

0 1 2 3 4 5 6 7 0

La bascule A commute chaque fois qu’il arrive un front descendant. La sortie de


bascule A fait office de signal d’horloge de la bascule B, de que cette dernière commute
chaque fois que le signal provenant de A passe de 1 à 0, et de même manière pour la
bascule C.

- Après la 7ième impulsion d’horloge les bascules du compteur sont dans l’état 111. La 8ième
impulsion mène toutes les bascules à 0, on dit que le compteur est recyclé et qu’il
recommence le cycle de dénombrement des impulsions.

- Nous remarquons que les sorties Q1, Q2 et Q3 fournissent des signaux périodiques dont les
fréquences sont respectivement 2, 4 et 8 fois plus faible. La division de fréquence consiste
l’une des applications des compteurs asynchrones.

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle

Cas d’un compteur asynchrone régressif :

"1"
J1 Q1 J2 Q2 J3 Q3
H ck1 ck2 ck3
K1 Q1 K2 Q2 K3 Q3

1 1 1 1 1

0 0 0 0 0

1 1 1 1 1

0 0 0 0 0

1 1 1 1 1

0 0 0 0 0

0 7 6 5 4 3 2 1 0 7

Remarque : Un compteur de n bascules peuvent mémorisés des mots de n bits, on peut


avoir au maximum 2n combinaisons.

Compteurs asynchrones modulo N:(Diviseur par N), (compteur à cycle incomplet)

Un compteur binaire constitué de n bascules est dit modulo N (tel que : N  2 n ) ; s’il
peut compter jusqu’à N-1. La Nième impulsion le remet obligatoirement à zéro.

Pour réaliser un compteur modulo N :

1- On cherche le nombre de bascule nécessaires n tel que : N < 2n


Si N = 2n les étapes 2 et 3 ne sont pas nécessaires.

2- Connecter la sortie d’une porte NAND aux entrées clear de toutes les bascules.

3- Déterminer quelles bascules sont à l’état 1 quand le nombre est N-1 puis les raccorder aux
entrées de la porte NAND.

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle

Exemple : Soit le compteur asynchrone modulo 6


à bascule JK :

6 < 2 => il existe 3 bascules

Le compteur doit compté j’usqu’à 5 (arret à la 6ème


impulsion)

Cycle des états

J1 Pr Q J2 Pr J3 Pr
1 Q2 Q3
H ck1 (A) ck2 (B) ck3 (C)
K1 Q1 K2 clr Q2 K3 clr Q3
clr
"1" "1"
"1"

Figure : chronogramme relatif au fonctionnement du compteur asynchrone modulo 6.

Les compteurs synchrones :

Un compteur synchrone est constitué de bascules synchrones recevant en même


temps le signal d’une horloge unique. Les sorties des différentes bascules du compteur
changent en même temps.

Pour réaliser un compteur synchrone modulo N on utilisant la table de KARNAUGH


pour déterminer les fonctions logiques des entrées synchrones des différentes en fonction
des états connus des sorties avant et après le front actif d’horloge.

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle

Exemple : Réaliser un compteur synchrone modulo 7 à l’aide des bascules JK à front


montant
Sol : Le nombre de bascules à réaliser est : 2 2  7  2 3 => 3 bascules

Tableau de fonctionnement du compteur :

Sortie à L’instant "t" Sortie L’instant "t+1" Entrées Bascules L’instant


à à "t"
Etats QC QB QA QC QB QA JC KC JB KB JA KA
Qt Qt+1 ➔ JK
0 0 0 0 0 0 1 0Φ 0Φ 1Φ 0 0 0Φ
1 0 0 1 0 1 0 0Φ 1Φ Φ1 0 1 1Φ
2 0 1 0 0 1 1 0Φ Φ0 1Φ 1 0 Φ1
3 0 1 1 1 0 0 1Φ Φ1 Φ1 1 1 Φ0
4 1 0 0 1 0 1 Φ0 0Φ 1Φ
5 1 0 1 1 1 0 Φ0 1Φ Φ1
6 1 1 0 0 0 0 Φ1 Φ1 0Φ

Pour chaque entréé de la bascule on établir un tableau de Karnaugh afin d’obtenir


son expression simplifier.

QB QA 00 01 11 10 QB QA 00 01 11 10
QC QC
0 1Φ Φ1 Φ1 1Φ 0 0Φ 1Φ Φ1 Φ0
1 1Φ Φ1 Φ 0Φ 1 0Φ 1Φ Φ Φ1

𝑱 = ̅̅̅̅
𝑸𝑪 + ̅̅̅̅
𝑸𝑩 𝑱𝑩 = 𝑸𝑨
{ 𝑨 {
𝑲𝑨 = 𝟏 𝑲𝑩 = 𝑸𝑨 + 𝑸𝑪

QB QA 00 01 11 10
QC
𝑱𝑪 = 𝑸𝑨 . 𝑸𝑩
0 0Φ 0Φ 1Φ 0Φ {
𝑲𝑪 = 𝑸𝑩
1 Φ0 Φ0 Φ Φ1

Exercice : Réaliser un compteur synchrone diviseur par 10 (modulo 10) à l’aide des
bascules D à front descendant.

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle

Chapitre 8 : Les regidtres

Registre: groupe de bascules qui partagent une horloge commune. Un registre à n bits est
un groupe de n bascules qui peuvent stocker n bits

Registre de mémorisation : Association de n bascules D pour mémoriser n bits

➢ les entrées présentes sur E0, E1, E2, E3 sont mémorisées en synchronisation avec le signal W
➢ elles peuvent être lues sur les sorties Q0, Q1, Q2, Q3 en coïncidence avec le signal de validation R
✔ Si les sorties se font sur un bus, portes à 3 états au lieu des ET.

Registre à décalage : Permet de décaler de l’information binaire d’une cellule à une autre
dans une direction spécifique. Constitué d’une série de bascules en cascade, où la sortie
d’une bascule est branchée à l’entrée de la bascule suivante.

➢ bascules D interconnectées de façon à ce que l'état de la bascule de rang i soit transmis à la


bascule de rang i+1 (ou i-1) quand un signal d'horloge est appliqué à l'ensemble des bascules.

➢ 2 types d'entrées :
✔ parallèle : comme dans un registre de mémorisation.
✔ série :
✘ l'information est présentée séquentiellement bit après bit à la 1ère bascule.
✘ à chaque coup d'horloge, un nouveau bit est présenté et ceux déjà chargés sont décalés d'un rang.

➢ l'information stockée dans un registre à décalage peut être lue de la même manière, en
série ou en parallèle.

➢ certains registres sont capables de décaler à droite ou à gauche (registres à décalage


universels).

➢ généralement réalisés avec des bascules du type maître esclave D ou R-S

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle
● Entrée série - sortie parallèle :

➢ Pour transformer un codage temporel (succession des bits dans le temps en codage spatial
(information stockée dans une mémoire statique.

➢ On peut utiliser aussi la sortie série, avec éventuellement des fréquences d'horloge
différentes en entrée et en sortie.
✔ Le registre sert alors de mémoire tampon (buffer).

● Entrée parallèle - sortie série :

Exemple d'une entrée parallèle+série et sortie série :

➢ Si X=0, l'entrée série est inhibée et l'entrée parallèle est validée.


➢ Si X=1, l'entrée parallèle est inhibée et l'entrée série est validée.
➢ Permet de transformer un codage spatial en codage temporel.

● Entrée parallèle - sortie parallèle :

➢ La commande X permet de sélectionner le mode de chargement.


✔ X=0 ⇒ Pr=Cr=1 ⇒ fonctionnement normal des bascules ⇒ inhibition des entrées parallèles.
✔ X=1
✘ Ei=1 ⇒ (Pr=0, Cr=1) ⇒ Qi =1
⇒ Qi = Ei
✘ Ei=0 ⇒ (Pr=1, Cr=0) ⇒ Qi =0

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle
● Registre à décalage à droite et à gauche :

➢ Mode de fonctionnement commandé par les entrées S0 et S1 :

✔ Signal d'horloge inhibé si S0 = S1 = 0

➢ Sélection du chargement parallèle si :

Lignes d'entrées A, B, C, D validées.


Entrées E0 et E1 bloquées, liens Q-RS bloqués.

➢ Sélection du décalage à droite (entrée E1, sortie QD) si :


✔ S0 = 1 et S1 = 0. Entrée E0 bloquée, lien Q – RS vers la droite

➢ Sélection du décalage à gauche si :


✔ S0 = 0 et S1 = 1. Entrée E1 bloquée, lien Q – RS vers la gauche.

➢ En résumé :

➢ Les registres à décalage permettent d'effectuer des multiplications par 2 (décalage d'un
rang vers la gauche) ou des divisions par 2 (décalage d'un rang vers la droite).

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle

„ Dans les catalogues de circuits standard : registres multi-fonctions :

• Chargement série ou parallèle (MODE, ESD, ESG, Ei).


• Décalage à droite et à gauche (SENS).
• Lecture série ou parallèle (SSD, SSG, ou Qi).
• Initialisation (INIT).

Applications:

Ligne à retard numérique : Permet de retarder un train binaire de n périodes d'horloge.

Division et multiplication par 2n :


• Décalage à droite de n bits : division par 2n

• Décalage à gauche de n bits : multiplication par 2n

Université d’Adrar B. Bachir Bouiadjra


Logique séquentielle

EXTRAIT DE LA FICHE TECHNIQUE DU CIRCUIT INTEGRE 74LS194

Registre à décalage universel bidirectionnel 4 bits

SPECIFICITES

- Entrées d'horloge et de contrôle amplifiées

- Possibilité de décalage à gauche ou à droite

- Transfert synchrone des données en parallèle ou en série

- RAZ générale asynchrone

- Mode "maintien des sorties"

Table de fonctionnement :

1: On remarque que l'entrée de RAZ MR\ est active au niveau 0 et est prioritaire.

2: Lorsque S0=S1=0, l'état des sorties n'évolue pas. Les états (qn) indiquent l'état des
sorties de l'instant précédent.

3 et 4: En mode décalage à gauche, il faut placer S1 à 1 et S0 à 0, les états des sorties se


décalent (par exemple, Q0 prend l'état présent sur Q1 (q1) avant le front montant (FM) sur
(CP). Il est important de constater que Q3 prend l'état présent sur l'entrée DSL.

5 et 6: Mode décalage à droite.

7: Mode chargement parallèle (S0=S1=1 et (FM) sur (CP)). Ce mode permet de charger les
états logiques des sorties qui seront décalées. (par exemple, la sortie Q1 prend l'état logique
présent sur l'entrée (d1)).

Université d’Adrar B. Bachir Bouiadjra

Vous aimerez peut-être aussi