RESEAUX
ET
I.U.T. de VELIZY TELECOMUNICATIONS
Logique et circuits
combinatoires chapitres 2
et 3
Emmanuelle Peuch
INTRODUCTION
Un circuit logique est dit combinatoire si l'état de ses sorties est fonction
uniquement de l'état présent de ses entrées. Ainsi, à chaque combinaison des
entrées correspond une seule combinaison des sorties.
Dans cette partie nous allons tout d'abord présenter les opérateurs
combinatoires de base (opérateurs logiques de base). Puis nous ferons un
rappel sur l'algèbre de Boole, ce qui nous permettra ensuite d'aborder une
méthode de synthèse d'un système logique combinatoire.
II Algèbre de Boole________________________________6
ET logique :
Tensions électriques
La sortie est à l'état haut quand les entrées sont simultanément à l'état haut.
OU logique :
Tensions électriques
La sortie est à l’état haut quand l’une au moins des entrées est à l’état haut, et
à l’état bas quand les entrées sont simultanément à l’état bas.
Tensions électriques
NON ET (NAND) :
NON OU (NOR) :
OU exclusif :
II Algèbre de Boole
Régles
générales
Lois Lois de De
Commutativité Associativité Distributivité
spéciales Morgan
A(BC) = (AB)C
A.B = B.A A(B+C) = A.B+AC. A+A.B = A A + B = A.B
= A.B.C
A+(B+C) = (A+B)+C
A+B = B+A A+(B.C)=(A+B).(A+C) A(A+B) = A A.B = A + B
= A+B+C
Régles
particulières
Mise en Synthèse
Cahier des Table de
équation (avec (réalisation
charges vérité
optimisation) électronique)
C B A R S
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
Pour chacun de ces cas (sortie à 1), on écrit le produit (ET logique) de
toutes les variables d’entrée :
Remarque : lorsque les états ‘0’ sont moins nombreux que les états ‘1’,
il est avantageux d’écrire le complément de la somme
logique des lignes où la variable de sortie prend la valeur 0.
Exercice
Mise en équation des sorties R et S et simplification des équations logiques
obtenues
Equation de S :
Equation de R :
Construction du tableau :
Les cases représentant l’état des variables d’entrée doivent être
adjacentes, c'est-à-dire que le passage d’une case à l’autre se fait par
changement d’une seule variable à la fois.
BC 00 01 11 10
A
0
Dans les cases on n’inscrit que la valeur 1 (cases où la sortie prend l’état
1). Les cases vides représentent donc les valeurs 0 de la sortie.
Soit ici S =
BC 00 01 11 10
A S=
0 1 1
1 1 1
BC 00 01 11 10
S=
A
0 1 1
1 1 1
BC 00 01 11 10
A S=
0
1 1 1 1 1
BC 00 01 11 10
A S=
0 1 1
1 1 1
BC 00 01 11 10
A S=
0
1 1
BC 00 01 11 10
A S=
0 1 1
1 1 1 1
BC 00 01 11 10
A S=
0 1 1
1 1 1 1 1
BC 00 01 11 10
A S = B.C + A.C + A.B
0 1 1 1
1 1 1 1
OU ALORS
BC 00 01 11 10
A S = A.C + A.B + B.C
0 1 1 1
1 1 1 1
Quand certaines combinaisons des variables sont sans effets sur la valeur
de la fonction de sortie S, on dit que ce sont des états indifférents. On les
note par une croix ou par un – dans le diagramme de Karnaugh et on les
utilise partiellement ou totalement pour simplifier S :
BC 00 01 11 10
A S = B + A.C
0 1 -
1 1 - 1
Exercice
Mise en équation des sorties R et S et simplification des équations logiques
obtenues par la méthode des tableaux de Karnaugh
C B A R S
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 1 1
1 0 0 1 0
1 0 1 1 1
1 1 0 1 1
1 1 1 1 1
BC 00 01 11 10
A
0 1
S=
1 1 1 1
R = A+B+C
S = A.C + B.C + A.B
A B C
ANNEXE
Unité en
Volts
Unité en ns
I Introduction___________________________________19
II Etude de l’additionneur 1 bit _____________________19
III Description d’un additionneur de deux mots de 3 bits _24
I Introduction
Un circuit arithmétique est un dispositif qui peut réaliser des additions, des
soustractions, des multiplications,…. sur des nombres binaires.
L’addition de deux mots de 1 bit est effectuée par un circuit appelé demi
additionneur. Sa table de vérité est donnée ci-dessous :
A S (somme) B A S R
0 0 0 0
B R (Retenue) 0 1 1 0
1 0 1 0
1 1 0 1
Demi additionneur
1 bit: vue externe
S=A⊕B VHDL
Si nous additionnons deux mots de plusieurs bits, il faut alors tenir compte
de la retenue de la précédente addition de 1 bit. Le circuit a donc trois
entrées, deux sorties, et est appelé additionneur 1 bit (additionneur
complet mono bit):
Ai S i (somme) Ri Bi Ai Si R i+1
0 0 0 0 0
Bi R i+1 0 0 1 1 0
(Retenue) 0 1 0 1 0
Ri 0 1 1 0 1
1 0 0 1 0
Additionneur 1 bit: 1 0 1 0 1
vue externe 1 1 0 0 1
1 1 1 1 1
Si = Ri ⊕ Ai ⊕ Bi
Vue interne
Figure 1
Nous allons utiliser des nœuds intermédiaires S1, S2 et S3 qui sont appelés
des signaux en VHDL (objet du langage VHDL).
entity add is
Port (Ai,Bi,Ri: in std_logic;
S,R: out std_logic );
end add;
Cf TD et TP
I Description générale
Multiplexeur :
NB : dans le symbole ci-dessus, les entrées et sorties sont représentées par des flèches
épaisses afin d’indiquer qu’il peut y avoir plus d’un conducteur : un bus de données.
Doc.
Extrait de la documentation technique du décodeur 74HC151
technique (Texas Instrument)
II Description VHDL
C1 C0 S
0 0 D0
0 1 D1
1 0 D2
1 1 D3
(Vue externe)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity MUX_4_vers_1 is
Port ( D0 : in std_logic;
D1 : in std_logic;
D2 : in std_logic;
D3 : in std_logic;
sel : in std_logic_vector(1 downto 0); -- regroupe C1 et C0
S : out std_logic);
end MUX_4_vers_1;
begin
S <= D0 when sel = "00" else
D1 when sel = "01" else
D2 when sel = "10" else
D3 ;
end version1;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity MUX_4_vers_1 is
Port ( E0 : in std_logic;
E1 : in std_logic;
E2 : in std_logic;
E3 : in std_logic;
sel : in std_logic_vector(1 downto 0);
S : out std_logic);
end MUX_4_vers_1;
begin
with sel select
S <= D0 when "00",
D1 when "01",
D2 when "10",
D3 when others;
end version2;
Doc.
Extrait de la documentation technique du décodeur - démultiplexeur
technique 74HC138 (Texas Instrument)
Symbole logique :
Table de vérité :
Table de vérité d’un démultiplexeur 1 voie vers 8 voies : il faut donc trois
lignes d’adresse (entrées SELECT) pour valider une sortie parmi les huit.
IUT Vélizy – R&T / Emmanuelle Peuch 30
Chapitre 3
Doc.
Extrait de la documentation technique du décodeur 74LS42 (Texas
technique Instrument)
Segments qui doivent être allumés pour former chacun des chiffres.
Chaque segment est formé d’un matériau qui émet de la lumière quand il
est traversé par un courant. Les matériaux les plus utilisés sont les
diodes électroluminescentes (LED).
Exemple : ci-dessus, on voir que pour former le chiffre 6 il faut allumer les
segments e, f, g, c et d et les segments a et b doivent être
éteints.
Doc.
Extrait de la documentation technique du décodeur
technique 74HC4511 (Texas Instrument)
IV Description VHDL
Cf TD et TP
I Description générale
Ici, dans notre exemple, nous avons un codeur octal/binaire (huit entrées/3
sorties).
Table de vérité :
II Description VHDL
Cf TD et TP
IUT Vélizy – R&T / Emmanuelle Peuch 35
Chapitre 3