Vous êtes sur la page 1sur 6

Université de Sousse Année : 2021-2022

ESSTH S 3eme année LSE


Dr. ATTIA Brahim FPGA

MAEF
TD 3
Exercice1:
Nous disposons d’un bouton poussoir qui présente de « bounce » en sortie. C’est-à-dire que la
sortie passe de zéro à un (ou de 1 à 0) avec quelques transitions comme représente la figure ci-
dessous. Le but est de générer un signal zéro ou un propre.

Algorithme : Tant que l’entrée BTN est à zéro, la sortie ne bouge pas. Dés que pendant 100 msec
l’entrée BTN reste en état haut, la sortie se change d’état et il reste dans cet état tant que l’entrée
BTN reste à un. Par contre quand l’entrée repasse à zéro, le système re-attendre à nouveau pour
une autre période de 100 msec durant laquelle l’entrée est à un pour rechanger l’état.
1) Donner un couple d’entité-architecture pour réaliser ce dispositif.

Exercice2:
Il nous faut un circuit pour réaliser la forme d'onde ci-dessous:
Donner d'abord l'entité de ce circuit et puis l'architecture.
.

Exercice 3:
Supposez un système qui contrôle l’ouverture d’une porte, après introduction d’un code de 4
digits sur un clavier.
Le clavier possède 7 touches numériques, de 0 à 6, et une touche <del> permettant
l’effacement du dernier digit introduit.
Lorsqu’une touche est pressée, un code binaire sur 3 bits est envoyé au système (signal
keycode), ainsi qu’un signal keyon, actif tant que la touche est pressée (le code envoyé pour
la touche <del> est 111).
Si la séquence 3625 est introduite, quel que soit l’état du système, un signal opendoor est
produit pendant un seul cycle d’horloge, pour commander l’ouverture de la porte.

Dessinez le schéma logique du système et écrivez sa description en VHDL.

Exercice 4:
On désire réaliser une fonction dont la sortie S recopie l’état logique présent sur son entrée E
si celle-ci est restée stable après 2 coups d’horloge successifs.
L’entrée RAZ a été rajoutée afin que l’état initial du système soit défini. De façon plus
générale, un système séquentiel doit toujours être initialisé, de plus cette initialisation doit
être asynchrone.

1) Donner la représentation du diagramme de transition.


2) Donner le couple entité architecture.

Exercice 5:

Il s’agit de réaliser un détecteur de sens de rotation d’un disque magnétique. Ce dispositif


est constitué par un disque présentant alternativement des secteurs isolants et conducteurs
balayés par 2 frotteurs A et B servant d’entrées au système à réaliser.
Nous noterons que l’espace entre les 2 frotteurs est inférieur à l’arc d’un secteur et que
l’horloge est suffisamment rapide pour assurer que chaque fois qu’il y a une modification
de valeur sur les entrées de la machine, il y a au moins un coup d’horloge.

Un disque peut tourner dans deux sens. Deux capteurs se trouvent d’un coté du disque pour
lire la position de celui-ci. Il s’agit alors de déterminer dans quel sens tourne le disque.
La sortie est active tant qu’il tourne dans le sens anti-horaire.(sortie de mealy post synchronisé
active haute). Il faut noter que le graphe d’états présenter ci-dessous n’est pas le graphe le
optimal, mais il permet de présenter différents aspects du codage vhdl en un seul exemple.

Exercice 6:
Réalisons un système séquentiel détectant le début et la fin d’une impulsion. Le
chronogramme désiré est le suivant :
Le bilan des entrées et sorties est décrit ci-dessous, on y a ajouté la possibilité d’un reset avec
l’entrée rst.

1) Donner la représentation en machine à états fini de type Moore et la description


VHDL correspondante.
2) Donner la représentation en machine à états fini de type Mealy et la description VHDL
correspondante.
Exercice 7:
Nous allons considérer la situation ou il y a une machine qui vend les films pour 5DT.
L’usager a le droit de mettre des pièces de 1DT ou de 2DT et des que le montant arrive a 5D
ou plus, il y a un film qui sort. Il est aussi possible de l’usager mette 6DT et dans ce cas, la
machine donne un film et remet aussi la monnaie.
Nous voulons faire une machine de Moore et donc, les sorties ne dépendent que le l’état.
Une information de plus est que, si la personne mettait de l’argent pendant que le film sort,
l’argent sera perdu.
Avec cette description, il devrait déjà être possible de déterminer quelques entrées et sorties.
Puisque c’est une machine à états, il doit y avoir une horloge. On peut soit insérer 1DT, 2DT
ou rien, donc on va avoir une entrée pour chaque type de monnaie. A la sortie, on peut soit
donner un film ou soit donner un film et de la monnaie.
1) Décrire l’entité de cette machine à états.
2) Compléter le diagramme de la machine à états en utilisant précisant les conditions de
transition et les valeurs des ports de sortie à chaque état.
3) Donner la description VHDL adéquate en utilisant 2 processus (Get F combiné) et M.

Vous aimerez peut-être aussi