Vous êtes sur la page 1sur 11

TRAVAil PRATIQUE N°1 : REALISATION D’UN

COMPARATEUR BINAIRE

I. Présentation générale

De façon générale, on appelle comparateur un système destiné à


faire la comparaison de deux ou plusieurs grandeurs, quantités, ou
unités précises. Il s’agit en général de quantifier des grandeurs
discrètes. En électronique, un comparateur est un circuit intégré
numérique auquel sont soumis en entrée deux mots sous forme
binaire, et qui détermine lequel des deux nombres correspondants
est plus grand ou s’ils sont égaux. Notre travail sera basé sur la
réalisation d’un comparateur, en commençant par la réalisation
d’un comparateur élémentaire (1 bit) et ensuite celui de 2 bits à
l’aide du logiciel de simulation MULTISIM et ULTIBOARD et comme
application pratique on présentera un comparateur 4 bits (74LS85).

1
II. But de la manipulation

Les objectifs de ce travail pratique sont, dans un premier temps, de


comprendre le principe de fonctionnement d’un comparateur 1bit et
2 bits ; ensuite, d’élaborer le circuit numérique et typon et effectuer
des tests sur notre comparateur.

III. Principe
Il consiste à établir la table de vérité de notre comparateur, ressortir
les équations logiques qui en découlent, se servir des équations
logiques pour réaliser le câblage et faire ressortir le typon après.

IV. Mode opératoire

1-comparateur 1 bit

a) Table de vérité

Le comparateur 1 bit va comparer deux mots A et B en entrée et


donner le résultat en sortie : S1 (A>B), S2 (A<B), S3 (A=B).

A B S1 (A<B) S2 (A>B) S3 (A=B)


0 0 0 0 1
0 1 1 0 0
1 0 0 1 0
1 1 0 0 1

2
b) Equations logiques

Apres simplification grâce à la table de karnaugh, nous avons


comme expressions en sortie, les fonctions logiques suivantes :

̅B
S1 = 𝑨

̅
S2 = A𝑩

̅ B + A𝑩
S3 = 𝑨 ̅

c) Realisation du logigramme

U2A
B U1A
S1

7404N
7408N

A
U3A
S3

74LS32N

U1B
U2B S2

7408N
7404N

d) Réalisation du schéma bloc

Pour la réalisation de notre schéma bloc, nous allons utiliser comme


entrée des switches et en sortie les LED ;

3
VCC
5V LED1
S1

HB1 LED2
B S2
S2 A S1
Key = Space S3 LED3

Comparateur_1_bit

Key = Space

e) Typon et vue 3D

typon

Vu 3D du typon

4
2- Comparateur 2 bits

a) Table de vérité et équations logiques

Notre comparateur 2 bits permettra de comparer deux mots A et B


écrits sur 2 bits, se compose de 4 entrées (A1A0 et B1B0) et 3 sorties :
S1 (A<B), S2 (A>B), S3 (A=B))

A1 A0 B1 B0 S1 S2 S3
0 0 0 0 0 0 1
0 0 0 1 1 0 0
0 0 1 0 1 0 0
0 0 1 1 1 0 0
0 1 0 0 0 1 0
0 1 0 1 0 0 1
0 1 1 0 1 0 0
0 1 1 1 1 0 0
1 0 0 0 0 1 0
1 0 0 1 0 1 0
1 0 1 0 0 0 1
1 0 1 1 1 0 0
1 1 0 0 0 1 0
1 1 0 1 0 1 0
1 1 1 0 0 1 0
1 1 1 1 0 0 1

5
Equations logiques

Apres simplification grâce à la table de karnaugh, nous avons


comme expression en sortie, les fonctions logiques suivantes :

̅ 1B1+𝑨
S1=𝑨 ̅ 1𝑨
̅ 0𝑩0+𝑨
̅ 0B1B0

̅ 1+A0𝑩
S2=A1𝑩 ̅ 1𝑩
̅ 0+A1A0𝑩
̅0

̅ 1𝑨
S3=𝑨 ̅ 0𝑩
̅ 1𝑩
̅ 0+ A1𝑨
̅ 0B1𝑩
̅ 0+ A1A0B1B0+ 𝑨
̅ 1A0𝑩
̅ 1B0

b) Realisation du logigramme

B0
B1
U1A
A0
U2A U8A
A1
7404N
U8B
S1
74LS11D 74LS32D
U1B U3A
74LS32D
7404N U2B
74LS08D

U1C
74LS11D U12A
U9B
7404N U13A
S2
74LS32D
U1D
U10A
74LS11D
74LS32D
7404N
U11B
74LS08D

74LS11D
U14A

U16A
74LS21N
U14B
74LS32D
U18A
S3
74LS21N
U15A
74LS32D

U17A
74LS21N
U15B

74LS32D

74LS21N

6
c) Réalisation du schéma bloc et test de vérification

Pour la réalisation de notre schéma bloc nous allons utiliser comme


entrée des switches et en sortie les LED ;

VCC
S1
5V

LED1
Key = Space
S2
HB1 LED2
B0 S1
B1 S2
A0 S3 LED3
Key = Space A1

S3 Comparateur_2_bit

Key = Space
S4

Key = Space

Test et vérification du montage

Après avoir eu à réaliser le schéma bloc et faire des tests de


vérification nous avons effectivement constaté que les résultats
étaient ceux attendus. Maintenant nous pouvons passer à la
réalisation du typon sur le logiciel ULTIBOARD. Pour le faire, il nous
suffira de transférer notre logigramme sur le logiciel ULTIBOARD,
puis effectuer quelques réglages pour le dimensionnement et après
on obtient les figures suivantes :

7
VCC VCC
S1 S1
5V 5V

LED1 LED1
Key = Space
S2
Key = Space
LED2 S2
HB1 LED2
HB1
B0 S1
B1 S2 B0 S1
A0 S3 LED3 B1 S2
Key = Space A1 A0 S3
A1
LED3
Key = Space
S3 Comparateur_2_bit
S3 Comparateur_2_bit

Key = Space
S4 Key = Space
S4

Key = Space

Key = Space

Fig1 :Nous constatons bien que pour


Fig2 pour A<B la sortie S1 (LED jaune)
A=B la sortie S3 (LED verte) s’active
s’active Ce qui est conforme
Ce qui est conforme aux attentes
aux attentes
VCC
S1
5V

LED1
Key = Space
S2
HB1 LED2
B0 S1
B1 S2
A0 S3 LED3
Key = Space A1

S3 Comparateur_2_bit

Key = Space
S4

Key = Space

Fig3 : Nous constatons bien que pour


A>B la sortie S2 (LED Rouge) s’active
Ce qui est conforme aux attentes

8
Vu 3D du typon

typon

APPLICATION PRATIQUE SUR PLAQUE A ESSAIS


REALISATION D’UN COMPARATEUR 4bits

Matériels :
 Trois LED
 Plaque à essai
 Source de tension (5v)
 Câble de connexion
 Comparateur 74LS85

9
10
CONCLUSION

En définitive, il a été question pour nous de réaliser un


comparateur binaire. Dans un premier temps nous avons réalisé
un comparateur 1 bit en écrivant la table de vérité, donnant les
expressions logique des sorties, traçant le logigramme, réalisant
le schéma bloc, réalisant le typon et dans un second temps nous
avons réalisé le comparateur 2 bits.

11

Vous aimerez peut-être aussi