Académique Documents
Professionnel Documents
Culture Documents
Année : 2020-2021
Code VHDL 1 :
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY Y IS
port (D0, D1, S : in std_logic; Q : out std_logic );
END Y;
ARCHITECTURE synth OF Y IS
BEGIN
process (D0, D1, S)
begin
if S=‘0’ then Q <= D0; else Q <= D1;
end if;
end process;
END synth;
Code VHDL 2 :
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY YY IS
port (S0, S1, RL : in std_logic; X : in std_logic_vector(6 downto 0); Q : out
std_logic );
END YY;
ARCHITECTURE struct OF YY IS
component Y
port (D0, D1, S : in std_logic; Q : out std_logic );
end component;
signal Sa1, Sa2, Sa3, Sa4, Sb1, Sb2 : std_logic;
BEGIN
a1: Y port map (X(7), X(6), S0, Sa1);
a2: Y port map (X(5), X(4), S0, Sa2);
a3: Y port map (X(3), X(2), S0, Sa3);
1
a4: Y port map (X(1), X(0), S0, Sa4);
b1: Y port map (Sa1, Sa2, S1, Sb1);
b2: Y port map (Sa3, Sa4, S1, Sb2);
c1: Y port map (Sb1, Sb2, RL, Q);
END struct;
Exercice 2 :
2
Exercice 3 :
Exercice 4 :
Le bloc de registres internes du processeur est constitué de quatre registres de
données 1 bit à base de bascule D. L’entrée D de ces 4 bascules sera
commune, les entrées (notées T 0, T 1, T 2 et T 3) seront distinctes, les sorties
(notées Q 0, Q 1, Q 2 et Q 3) seront distinctes et les sorties /Q ne sont pas
utilisées.
3
Pour le fonctionnement, il suffit d’activer le bon Ti. Comme nous avons à
chaque fois un T i à sélectionner parmi les 4, nous utiliserons un décodeur 2
vers 4. Pour récupérer l’information du bon registre, il faut de nouveau un
multiplexeur qui va prendre en entrée les signaux Qi et rendra en sortie un
signal E. Les sélecteurs (signaux de contrôle) du décodeur seront notés C6 et
C7 et ceux du multiplexeur seront appelés C 4 et C5 .
Figure 1
Exercice 5 :
Concevoir une machine capable de détecter la séquence 0-0-0 sur son unique
entrée X. Notez que dans la séquence 0-0-0-0, la séquence se produit deux fois.
Utiliser la machine de Moore.