Vous êtes sur la page 1sur 48

BREVET DE TECHNICIEN SUPÉRIEUR

SYSTÈMES NUMÉRIQUES

Option B - Électronique et Communications

Épreuve E4 : ÉTUDE D’UN SYSTÈME NUMÉRIQUE ET


D’INFORMATION

SESSION 2019
______

Durée : 6 heures

Coefficient : 5
______

L’usage de tout modèle de calculatrice, avec ou sans mode examen, est autorisé.

Tout autre matériel est interdit.

Ce sujet comporte :
Présentation du système PR1 à PR11
Sujet
Questionnaire Partie 1 Électronique S-Pro1 à S-Pro6
Document réponses à rendre avec la copie DR-Pro1 à DR-Pro3
Questionnaire Partie 2 Physique S-SP1 à S-SP9
Document réponses à rendre avec la copie DR-SP1 à DR-SP3
Documentation DOC1 à DOC15

Dès que le sujet vous est remis, assurez-vous qu’il est complet.

Chaque candidat remettra deux copies séparées : une copie « domaine professionnel » dans
laquelle seront placés les documents réponses pages DR-Pro1 à 3 et une copie « Sciences
Physiques » dans laquelle seront placés les documents réponses pages DR-SP1 à 3.

Session 2019 BTS Systèmes Numériques


Option B Électronique et Communications Page de garde
19NC-SN4SNEC1 Épreuve E4
Présentation du système de laboratoire mobile
Incubateur INCFLOW

1. MISE EN SITUATION DU SYSTEME ÉTUDIÉ

1.1. Présentation
Le K-LMP LAB est un laboratoire de microbiologie mobile qui permet de réaliser des analyses
médicales sur site, dans le domaine de la santé, médecine vétérinaire, sécurité agro-
alimentaire, surveillance environnementale, etc.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page PR1 sur 11
Épreuve E4
19NC-NS4SNEC1 Présentation
Composition du K-LMP LAB

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page PR2 sur 11
Épreuve E4
19NC-NS4SNEC1 Présentation
BTS Systèmes Numériques
Session 2019 Option B Électronique et Communications
Page PR3 sur 11
Épreuve E4
19NC-NS4SNEC1 Présentation
1.2. Exemple d’utilisation

Un pic de personnes contaminées par le parasite Plasmodium falciparum, agent du paludisme


humain, est détecté en Asie.
Les autorités sanitaires sont équipées d'un laboratoire mobile et décident de le placer à
l'épicentre de la zone touchée.
Des tests de diagnostic rapide peuvent ainsi être réalisés permettant de cibler les personnes
susceptibles d’être diagnostiquées positives.
Pour choisir le médicament et le dosage adapté il faut réaliser, grâce au laboratoire mobile, des
tests des médicaments sur le parasite.
Un protocole est alors mis en place pour déterminer les médicaments adéquats à donner aux
patients : des prélèvements sanguins sont effectués et une mise en culture du parasite, au
moyen de l'incubateur INCFLOW, est réalisée afin de déterminer la sensibilité du parasite aux
différents médicaments.

2. ANALYSE DU SYSTÈME

2.1. Principe de la mise en culture


L’utilisation d’un incubateur est nécessaire pour la mise en culture du parasite.
Dans le cas de la mise en culture du paludisme, la croissance du parasite, lorsqu'il est cultivé in
vitro, ne peut se faire qu'à une température et une atmosphère contrôlée différente de
l’atmosphère ambiante composée de 20,95 % d’O2 -dioxygène-, 78,09 % de N2 -diazote- et
0,96 % d’autres gaz dont 0,35 % de CO2 -dioxyde de carbone.

Les parasites sont obtenus à partir de prélèvements sanguins réalisés chez des patients
présentant un accès palustre. Les parasites sont déposés dans des puits de « plaques
96 puits » contenant ou non des médicaments à différentes concentrations.
Les puits ne contenant pas de médicament seront les puits témoins correspondant à 100% de
croissance des parasites. Les plaques sont placées dans l'incubateur réglé de façon à obtenir
une température de 37 °C et des teneurs en O2 de 5 à 15 %, en CO2 de 5 % ± 1 % et en N2 de
80 à 90 %.

Après 72 heures d'incubation, les plaques sont retirées de l'incubateur et sont ensuite
congelées à -20 °C.
La croissance des parasites dans les puits des « plaques 96 puits » est quantifiée à l’aide d’un
test immunologique, sachant que plus la concentration en médicament dans le puit est forte,
moins le parasite se sera multiplié. Dans les puits ne contenant pas de médicament, le facteur
de multiplication du parasite est compris entre 2 et 4.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page PR4 sur 11
Épreuve E4
19NC-NS4SNEC1 Présentation
2.2. Description de l’incubateur INCFLOW
L’incubateur est une enceinte thermo-régulée
permettant une régulation de la température
ainsi que de la concentration en gaz (CO2 et
O2).
L’alimentation en gaz est assurée par des
bouteilles de gaz conditionnées dans la malle
n° 2 du laboratoire K-LMP LAB.

L’incubateur se pilote grâce aux boutons de sa face avant permettant à l’opérateur de saisir les
paramètres de fonctionnement : température, concentration en CO2 et O2, cycle de
fonctionnement, etc.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page PR5 sur 11
Épreuve E4
19NC-NS4SNEC1 Présentation
L'incubateur enregistre toutes les données relatives à son fonctionnement sur une carte
mémoire SD
• Consigne température ;
• Consigne taux de CO2 ;
• Consigne taux d'O2 ;
• Mesure de la température ;
• Mesure du taux de CO2 ;
• Mesure du taux d'O2 ;
• Ouverture de porte.

Les enregistrements sont réalisés toutes les 20 secondes. L'enregistrement des données est
daté afin d'avoir une traçabilité.
Un fichier est créé par jour sur la carte SD. Chaque fichier contient un en-tête de 135 octets et
des enregistrements de 56 octets chacun.

2.3. Solutions techniques mises en œuvre

Pour la régulation de température


Les mesures sont réalisées avec une sonde PT1000 et la régulation avec un module Peltier.

Pour la régulation de CO2 et d’O2


Les mesures sont réalisées par le biais d’un capteur à technologie rayonnement infra-rouge non
dispersif (CO2) et d’un capteur à cellule galvanique unique (O2).
La régulation est effectuée par le biais d’électrovannes qui injectent du CO2 et de l’Azote pour
réguler respectivement la concentration de CO2 et celle d’O2.
La quantité de gaz injectée est contrôlée en modulant le temps d’ouverture des électrovannes.
Pour la régulation en O2, le système injecte de l’azote, ce qui chasse l’O2. Les capteurs de gaz
étant sensibles à la température, une compensation logicielle permet de pallier ces défauts.

Les régulations en CO2 et O2 sont effectuées toutes les minutes. Les consignes sont
comparées aux valeurs mesurées par les capteurs et l’écart est compensé par une injection
maîtrisée et calculée en azote ou en CO2.
Pour chaque phase de régulation (toutes les minutes) les valeurs Min et Max sont mises à jour
et les valeurs des capteurs et des consignes sont enregistrées dans un fichier.
Lors de l’ouverture de la porte, les régulations sont stoppées, un affichage spécifique apparaît
et un signal sonore est émis. Les phases d’ouverture de porte doivent être les plus courtes et
les moins fréquentes possibles afin de limiter au maximum les perturbations dans l’enceinte
d’incubation.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page PR6 sur 11
Épreuve E4
19NC-NS4SNEC1 Présentation
3. DESCRIPTION SYSML
Diagramme des Exigences

Les valeurs numériques de certaines exigences seront à préciser dans le document réponses

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page PR7 sur 11
Épreuve E4
19NC-NS4SNEC1 Présentation
Diagramme des Blocs internes

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page PR8 sur 11
Épreuve E4
19NC-NS4SNEC1 Présentation
Diagramme des Blocs internes

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page PR9 sur 11
Épreuve E4
19NC-NS4SNEC1 Présentation
4. SCHÉMAS STRUCTURELS

IC8

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page PR10 sur 11
Épreuve E4
19NC-NS4SNEC1 Présentation
BTS Systèmes Numériques
Session 2019 Option B Électronique et Communications
Page PR11 sur 11
Épreuve E4
19NC-NS4SNEC1 Présentation
SUJET
Option B Électronique et Communications
Partie 1 Domaine Professionnel
Durée 4 h coefficient 3

Partie A. Définition de l’architecture matérielle du système


Problématique : identifier les éléments constituant le système, leur rôle et leur domaine
d’utilisation.

Q1. Établir la liste des équipements essentiels qui constituent le K-LMP LAB.

Q2. Établir la liste les éléments qui permettent d’assurer la continuité électrique du système
en précisant le rôle de chacun.

Q3. Énoncer les éléments qui composent le système de régulation de la température lors de
la mise en culture avec l’incubateur INCFLOW.

Q4. Indiquer les types de capteurs utilisés pour la régulation de CO2 et d’O2 et expliquer le
principe de régulation.

Q5. Expliquer le choix d’une consigne de 37 °C pour la régulation de température dans le cas
de l’exemple d’utilisation donné.

Q6. Compléter le diagramme des exigences sur le document réponses DR-Pro1

- Exigence 1.1.1.1. : préciser la valeur de la température exigée pour la mise en culture


du paludisme ;
- Exigence 1.1.1.2.1. : préciser la plage de valeur de réglage exigée pour la
concentration en O2 ;
- Exigence 1.1.1.2.1.2. : décrire la méthode exigée pour réguler le taux d’oxygène ;
- Exigence 1.1.1.2.2. : préciser la valeur de réglage et la tolérance exigée pour la
concentration en CO2.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-Pro1 sur 6
Épreuve E4
19NC-SN4SNEC1 Domaine professionnel - Sujet
Partie B. Enregistrement d’un fichier de mesure
Problématique : mettre en œuvre l’enregistrement des données

Lors d’une campagne de mesure un fichier de stockage est généré chaque jour selon les
informations données à la page PR6.
(On rappelle que : kilo = 103, kilo Binaire -noté ki- = 210)
L’horodatage des données nécessite l’utilisation d’une horloge interne.

Q7. Calculer la taille d’un fichier d'enregistrement des données en octets et en kilo-octets
binaire sur une durée de 24 h.

Caractériser une liaison consiste à définir si la liaison est : série, parallèle, synchrone,
asynchrone, simplex, half-duplex ou full duplex. On se référera à l’IBD page PR9 et au schéma
structurel ‘’carte SD + RTC’’ page PR10.
Les informations relatives à la carte SD sont indiquées page DOC2.

Q8. Caractériser la liaison entre la carte SD et le microcontrôleur.

Q9. Préciser, d’après le schéma, le nom, le rôle et le sens des signaux qui assurent la
communication entre la carte SD et le microcontrôleur.

La fréquence du signal d’horloge SCL_SD est de 400 kHz.

Q10. Déterminer la durée d’envoi d’une trame de commande entre le microcontrôleur et la


carte SD (page DOC2).

Le microcontrôleur effectue une commande de lecture de la carte SD à l’adresse $00A8 F32B.

Q11. Compléter, sur le document réponses DR-Pro2, en binaire puis en hexadécimal, les bits
de commande et le champ argument (qui ne sera exprimé qu’en hexadécimal) que le
microcontrôleur doit transmettre à la carte SD.

À chaque mesure l’heure est enregistrée. Elle est déterminée grâce à une ‘’horloge temps réel’’
(RTC) DS1388 repérée IC8 sur le schéma structurel page PR10. La documentation du circuit
DS1388 est donnée pages DOC3 à DOC7.
L’horloge fonctionne en permanence et la fonction chien de garde du DS1388 n’est pas activée.

Q12. Préciser la valeur du quartz à utiliser.


Expliquer pourquoi tous les circuits RTC sont pilotés par un quartz à cette fréquence.

Q13. Préciser le nom et le rôle des signaux qui assurent la communication entre le DS1388 et
le microcontrôleur et justifier la présence des résistances R39 et R40.

Q14. Caractériser cette liaison.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-Pro2 sur 6
Épreuve E4
19NC-SN4SNEC1 Domaine professionnel - Sujet
Une trame de communication I²C a été relevée. Elle est présentée sur le document réponses
DR-Pro2.

Q15. Identifier, sur le document réponses DR-Pro2, en les entourant, la condition de start et la
condition de stop. Préciser s’il s’agit d'une lecture ou d'une écriture.

Q16. Identifier, sur le document réponses DR-Pro2, en les mettant en évidence, les bits
d’acquittement (ACK).

On désire écrire dans l’horloge du DS1388 (BLOCK 0).

Q17. Compléter le tableau du document réponses DR-Pro2 en indiquant les valeurs des bits
d’adresse du composant IC8. Donner les valeurs correspondantes de l’octet en
Hexadécimal.

La mise à l’heure de l’horloge nécessite une écriture des registres d’adresses 02h et 03h ainsi
que du registre de contrôle. On désire effectuer la mise à l’heure en programmant 19 h 25
(l’heure est codée de 0 - 24 h).

Q18. Compléter le tableau du document réponses DR-Pro2 en indiquant la valeur des bits à
placer dans les registres d’adresses 02h et 03h.

Q19. Compléter le tableau du document réponses DR-Pro3 en indiquant la valeur des bits 7, 1
et 0 du registre de contrôle.

La trame I²C étudiée précédemment et présentée page DR-Pro2 correspond à la


programmation d’un des registres de l’horloge. Le premier octet (adresse) est celui déterminé à
la question Q17.

Q20. Compléter, document réponses DR-Pro3, en binaire puis en hexadécimal, les valeurs
transmises par cette trame pour les deux derniers octets.

Q21. Indiquer le registre concerné et le paramètre qui a été programmé.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-Pro3 sur 6
Épreuve E4
19NC-SN4SNEC1 Domaine professionnel - Sujet
Partie C. Régulation de température
Problématique : valider les éléments assurant la mesure de température.

La régulation de température est assurée par la carte PR59 (pages DOC9 à DOC12) sur
laquelle est connecté un capteur de température (sonde) de type PT1000 (page DOC8).
Les paramètres du correcteur pour la régulation de température sont imposés dans les registres
internes de la carte PR59.
Le système réalise des mesures de température sur une plage de 0 °C à 50 °C.

Q22. Indiquer le type et les paramètres de la liaison (configuration) qui permet au


microcontrôleur de communiquer avec la carte PR59.

Q23. Indiquer le type de mémoire utilisé par le contrôleur de température pour sauvegarder les
paramètres de configuration (correcteur…). Justifier le choix de cette technologie dans le
système.

La sonde de température est de type PT1000 (page DOC8).

Q24. Préciser la signification de cette référence.

Q25. Déterminer la valeur de la sonde à 37 °C.

Q26. Indiquer sur quelle entrée de la carte PR59 il faut connecter la sonde PT1000.

Q27. Indiquer la résolution de la mesure de température obtenue avec la carte PR59.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-Pro4 sur 6
Épreuve E4
19NC-SN4SNEC1 Domaine professionnel - Sujet
Partie D. Régulation de Dioxygène.
Problématique : régler la carte Advanced Gasmitter
Pour réaliser la régulation en Dioxygène, un capteur du type KE-50 (documentation page
DOC13) est connecté sur les entrées X5-1 et X5-2. L’amplificateur de mesure est donné par le
schéma ci-dessous.

Q28. Indiquer la précision sur la mesure du capteur KE-50 (page DOC13).

Q29. Compléter le tableau des tensions en sortie du capteur (document réponses DR-Pro3)

On notera VO2= X5-2 –X5-1

Q30. Préciser la fonction de la structure construite autour de IC2B.

Q31. Déterminer la relation liant la tension de sortie VB du circuit I2CB en fonction de VO2.

Q32. Déterminer le type de filtre réalisé par la résistance R11 et le condensateur C2. Préciser
son utilité dans le système.

La relation liant la tension de sortie VA de IC2A à la tension VB est donnée par la formule
simplifiée (aux fréquences de travail) :
VA = VB · (1 + R7/R12)

Q33. Calculer l’amplification maximale qu’il est possible d’obtenir avec la structure associée à
l’amplificateur IC2A.

On se place dans le cas où la tension sur l’entrée 3 de IC2A est de 50 mV au maximum. Le


signal de sortie de IC2A est numérisé par le microcontrôleur pour assurer la régulation. Le
microcontrôleur PIC 24FJ128 est alimenté en 3,3 V et la plage de conversion est comprise
entre 0 V et 3,3 V.
Le convertisseur analogique numérique utilisé (interne au microcontrôleur) a une résolution de
10 bits.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-Pro5 sur 6
Épreuve E4
19NC-SN4SNEC1 Domaine professionnel - Sujet
Q34. Calculer la valeur de l’amplification nécessaire d’après les conditions ci-dessus.

Q35. Définir le quantum (noté q) du convertisseur. Donner sa valeur numérique.

Q36. Déterminer la valeur numérique issue de la conversion correspondant à une teneur en O2


de 5 % (correspondant à 20 mV en sortie du capteur).

Partie E. Évolution du système


Problématique : raccordement du module sur le réseau informatique du laboratoire
Afin d’assurer la communication entre le système et un poste de supervision, il est envisagé
d’ajouter un module interface Ethernet.
L’architecture du réseau est donnée dans la documentation page DOC14.
Le portail captif joue un rôle de routeur, pare feu et serveur DHCP. Il autorise uniquement les
connexions entre le réseau « laboratoire » et Internet.

Q37. Proposer une adresse IP pour le module d’interface permettant de raccorder le système
au réseau « Laboratoire ».

Q38. Déterminer le masque de sous réseau qu’il faut paramétrer dans le module d’interface.

Q39. Déterminer la classe du réseau utilisé et le nombre d’hôtes qu’il est possible de raccorder
sur ce réseau.

Q40. Préciser si les postes « bureautique » peuvent échanger des informations avec les
postes « laboratoire » et indiquer un avantage de cette disposition.

Q41. Indiquer en quoi consiste la fonction « serveur DHCP » assurée par le portail captif.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-Pro6 sur 6
Épreuve E4
19NC-SN4SNEC1 Domaine professionnel - Sujet
DOCUMENT RÉPONSES – Domaine Professionnel

À RENDRE AVEC LA COPIE


Q6. Diagramme des exigences

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Épreuve E4 Page DR-Pro1 sur 3
19NC-SN4SNEC1 Domaine Professionnel – Document Réponses
Q11.
N° bits 47 46 45 …… 40 39 …….. 8 7 … 1 0
Commande
CRC
(Binaire)

Commande
CRC
(Hexadécimal)

Q15. Q16.

SCL

SDA

Il s’agit d’une : ……………………………………………………………

Q17.
MSB LSB R/W

Adresse en écriture $

Adresse en lecture $

Q18.
ADRESSE Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0
02h

03h

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Épreuve E4 Page DR-Pro2 sur 3
19NC-SN4SNEC1 Domaine Professionnel – Document Réponses
Q19.

EOSC b6 b5 b4 b3 b2 WDE WD/RST


Valeur 0 0 0 0 0
Registre de contrôle

Q20.

Valeurs en binaire :

S Adresse + R/W A A A P

Valeurs hexadécimales correspondantes :

S Adresse + R/W A A A P

Q29.
% O2 dans l’air Vo2 capteur min Vo2 Capteur Max
Min 5%

MAX 15 %

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Épreuve E4 Page DR-Pro3 sur 3
19NC-SN4SNEC1 Domaine Professionnel – Document Réponses
SUJET
Option B Électronique et Communication
Partie 2 Sciences Physiques
Durée 2h - coefficient 2

Le sujet est composé de 3 parties indépendantes :

Partie A : étude thermique de l’incubateur ;

Partie B : étude de la régulation numérique ;

Partie C : étude d’une transmission de données sur la liaison RS232.

Présentation

L’incubateur de la société K-plan permet de stocker des échantillons de produits biologiques,


pendant plusieurs jours, à une température fixe. Cette grandeur est régulée.

On souhaite, dans ce sujet, déterminer un paramétrage de la régulation numérique de


température afin de répondre au cahier des charges suivant : en régime permanent, la
température intérieure θint(t) de l’incubateur doit être stable à 37 °C avec une tolérance de +/-
0,5 °C alors que la température extérieure θext(t) peut varier de 0 °C à 50 °C.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-SP1 sur 9
Épreuve E4
19NC-SN4SNEC1 Sciences Physiques - Sujet
Partie A : Étude thermique de l’incubateur
On cherche à déterminer quantitativement le comportement thermique de l’incubateur.
L’intérieur de celui-ci est une enceinte cubique, dont les côtés ont pour longueur L. L’isolant
thermique est du polystyrène extrudé en plaque d’épaisseur e = 60 mm.

Loi d’Ohm thermique

Paroi de
conductivité λ

Hauteur L
Température
Chauffage Température extérieure θext
par
intérieure θint
modules
Peltier Épaisseur e

Largeur L
Figure 1

Le système de chauffage fournit une puissance Pc. La puissance P modélisant les pertes
thermiques, en régime permanent, à travers la paroi de l’enceinte vérifie la loi suivante :
θint − θext = Rth ⋅ P
où Rth est la résistance thermique de la paroi.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-SP2 sur 9
Épreuve E4
19NC-SN4SNEC1 Sciences Physiques - Sujet
Détermination de la résistance thermique de l’incubateur
On donne figure 2 la valeur de la résistance thermique Rth1 pour une plaque de surface
S = 1 m2 en fonction de l’épaisseur e du polystyrène extrudé.

Épaisseur e
20 30 40 50 60 70 80 90 100 110 120
(mm)
Rth1
0,60 0,90 1,20 1,50 1,80 2,10 2,40 2,70 3,00 3,30 3,60
(°C·W -1)
Figure 2
On admettra que la surface totale S de la paroi de l’incubateur à considérer ici est égale
à 0,412 m2. La résistance thermique Rth est proportionnelle à l’épaisseur e et inversement
proportionnelle à la surface S.

Q42. Montrer que la valeur de la résistance thermique des parois de l’incubateur Rth est égale
à Rth= 4,37 °C·W -1.

Étude du comportement thermique de l’enceinte


On suppose que la température extérieure, notée θext est constante et vaut 20 °C. On place un
chauffage dans l’enceinte (modules Peltier). On souhaite ainsi maintenir une température
constante à l’intérieur de l’enceinte θint à 37 °C.

Q43. Déterminer la valeur de la puissance thermique P0 que doit fournir le système de


chauffage pour compenser les pertes thermiques à travers les parois de l’enceinte lorsque la
température intérieure est de 37 °C.

On suppose toujours que la température extérieure θext(t), vaut 20 °C. La température intérieure
θint(t) de l’enceinte est initialement égale à la température extérieure θext(t).

À l’instant t = 0, on met en route le chauffage qui fournit une puissance thermique P0 constante.
On modélise cette mise en route par un échelon de puissance PC. Sa transformée de Laplace
sera notée PC(p).

Sans régulation, l’évolution de la différence de température ∆θ(t) = θint(t) - θext(t) entre l’intérieur
et l’extérieur est reportée sur le document réponses page DR-SP1.

On souhaite modéliser le comportement thermique de l’enceinte par un système linéaire du


premier ordre de fonction de transfert HS(p) comme indiqué figure 3.

enceinte
thermique
Pc(p) ∆θ(p)
HS(p)

Figure 3

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-SP3 sur 9
Épreuve E4
19NC-SN4SNEC1 Sciences Physiques - Sujet
Q44. Déterminer graphiquement, en faisant apparaître vos constructions, la température
intérieure finale θint(∞). Indiquer sa valeur sur le document réponses page DR-SP1.

Q45. Déterminer graphiquement, en faisant apparaître vos constructions, la constante de


temps τ caractéristique de ce système. Indiquer sa valeur sur le document réponses page
DR-SP1.

La modélisation thermique du système peut être schématisée comme indiqué figure 4.

θext(p)
enceinte
thermique
Pc(p) ∆θ(p) + θint(p)
HS(p) +

Figure 4

Q46. Donner l’expression de θint(p) en fonction de θext(p), Hs(p) et Pc(p).

Q47. En déduire, en justifiant votre réponse, si le cahier des charges est respecté.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-SP4 sur 9
Épreuve E4
19NC-SN4SNEC1 Sciences Physiques - Sujet
Partie B : Étude de la régulation numérique

Afin de respecter le cahier des charges, l’enceinte est incluse dans une boucle de régulation
numérique de température.

Pour évaluer les performances du système, on réalise le protocole de test thermique suivant :
- la température intérieure de l’enceinte est initialement égale à la température extérieure de
20 °C ;
- à l’instant t = 0 s, on met la régulation en fonctionnement en fixant la température de
consigne à la valeur de 37 °C ;
- à partir de l’instant t1 = 7 000 s, la température extérieure dérive de 20 °C vers 0 °C ;
- au-delà de l’instant t2 = 14 000 s, la température extérieure reste stable à 0 °C.

La période d’échantillonnage Te valant 0,05 s et la durée de l’enregistrement tacq valant


20 000 s, ces signaux numériques apparaissent sur le graphe comme des signaux analogiques.

Dans cette partie, on cherche à étudier les performances du système régulé, d’abord sans
correcteur puis avec correcteur.

Étude sans correcteur


Le système peut être représenté comme indiqué figure 5.

θext(z)
Système
Numérique
θcons(z) ε(z) ∆θ(z) + θint(z)
+ H(z) +
-

Figure 5

θcons(z) est la transformée en z du signal numérique correspondant à la température de


consigne.
θext(z) est la transformée en z du signal numérique correspondant à la température extérieure.

On applique le protocole de test thermique décrit plus haut. Les allures des températures
θcons(t), θext(t) et θint(t) du système régulé sont données sur le document réponses
page DR-SP2.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-SP5 sur 9
Épreuve E4
19NC-SN4SNEC1 Sciences Physiques - Sujet
Q48. Indiquer dans le tableau du document réponses page DR-SP2 une valeur approchée de
l’erreur statique ε0 quand la température extérieure est de 20 °C puis de 0 °C.

Q49. Préciser, en justifiant votre réponse, si le système ainsi régulé, répond au cahier des
charges.

Q50. Proposer un moyen d’améliorer les performances du système.

Étude avec correcteur


On se propose d’insérer dans la chaîne directe un correcteur de transmittance C(z) comme
indiqué sur la figure 6.

θext(z)
Système
Correcteur Numérique
ε(z) Y(z) ∆θ(z)
θcons(z) + θint(z)
+ C(z) H(z) +
-

Figure 6

On admet que la transformée en z, θint(z) est égale à :

θint ( z) = HBF ( z) ⋅ θcons ( z) + HPertu ( z) ⋅ θext ( z)

K z −1
avec HBF ( z) = , HPertu ( z ) = , z1 = 1 − K avec K réel.
z − z1 z − z1

Q51. Rappeler la propriété que doit vérifier z1 pour que le système asservi soit stable.

On admet que le système est stable et on rappelle qu’en régime sinusoïdal permanent, c'est-à-
dire quand les signaux d’excitation sont sinusoïdaux de pulsation ω, z a pour expression
z = e jω T .
e

Q52. Justifier qu’en régime continu permanent, z vaut 1.

Q53. Déterminer, dans ces conditions, l’expression de θint(z=1) en fonction de θcons(z=1) en


régime continu permanent.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-SP6 sur 9
Épreuve E4
19NC-SN4SNEC1 Sciences Physiques - Sujet
Réalisation du correcteur
Le schéma du correcteur utilisé, de type « Proportionnel Intégral », est donné figure 7.
Yp(z)
Kp

ε(z)
+ Y(z)

Ci(z) +

K iT e z-1 +
Xi(z) + Yi(z)
-1
z

Figure 7
Yi ( z )
Q54. Déterminer l’expression de la transmittance en z : C i ( z ) = .
Xi ( z)

Q55. En déduire que la transmittance en z du correcteur est :

Y(z) 1
C(z)= =K p +K iTe .
ε(z) z-1

Les paramètres Kp et Ki valent respectivement 6 900 et 2,3 s-1.


Avec ces 2 valeurs de Kp et Ki, on applique le protocole de test thermique décrit plus haut. La
réponse du système est donnée figure 8.
Température intérieure θint (°C)

t (s)
Figure 8
Q56. Indiquer, en justifiant votre réponse, si le cahier des charges est respecté.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-SP7 sur 9
Épreuve E4
19NC-SN4SNEC1 Sciences Physiques - Sujet
Partie C : étude d’une transmission de données sur la liaison
RS232

Les valeurs des paramètres de l’asservissement sont transmises au contrôleur de température


PR-59 à l’aide d’une liaison RS232. On se propose de valider la transmission du paramètre Ki.

Les caractéristiques de cette liaison sont :


- la durée de transmission d’un bit est de TB = 8,68 µs ;
- pour coder un niveau logique « 1 », la tension sur la ligne vaut -10V ;
- pour coder un niveau logique « 0 », la tension sur la ligne vaut +10V.

Extrait de la documentation du contrôleur de température PR59


The Serial Command Interface is a serial communications protocol, which efficiently handles the control
of the regulator. The regulator unit is acting like a slave or stand-alone unit. Each command from the
master unit results in a response from the regulator.
At startup of the regulator, the values in the internal EEPROM memory will be copied into the runtime
registers. The master can then change the EEPROM memory by first writing to the runtime registers
and then issue the RW command to write runtime registers to EEPROM. There is no need to issue a
RW command after each register change. Change all registers first, and then write to EEPROM if
needed.

Features
• RS232 serial interface, 115200 bauds, 1 start, 8 bit, 1 stop bit, no parity, no handshake (no
flowcontrol).
• Slave and/or stand-alone unit
• All communication with ASCII char
• EEPROM register holding startup regulator values
• Advanced PID regulator system, where all parameters can be adjusted
• Possibility to get the actual temperature values
• Possibility to get runtime register values
• Saving LOGG data every 20min. Can be used to check voltage/current/temp values.

Un caractère est codé sur un octet (8 bits) en suivant la table ASCII donnée dans la
documentation page DOC15.

L’émission de chaque caractère d’un message commence par un bit « Start » (niveau logique
« 0 ») et se termine par un bit « Stop » (niveau logique « 1 »).

Lors de la transmission d’un caractère, le bit de poids faible, LSB, est émis en premier ; le bit de
poids fort, MSB, est émis en dernier. Aucun bit de parité n’est émis.

Q57. Préciser si cette transmission se fait en bande de base ou sur fréquence porteuse.

Q58. Calculer le débit binaire D puis vérifier la compatibilité de cette valeur avec celle donnée
sur la documentation du contrôleur de température PR59 (ci-dessus).

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-SP8 sur 9
Épreuve E4
19NC-SN4SNEC1 Sciences Physiques - Sujet
Le microcontrôleur envoie au contrôleur de température PR-59 une trame RS232 dont le
chronogramme est reproduit sur le document réponses page DR-SP3.

Le tableau du document réponses page DR-SP3 permet de faire l’analyse de ce


chronogramme :
- la ligne « Trame » correspond à l’ensemble des bits envoyés ;
- la ligne « Binaire » donne la valeur en binaire des octets envoyés (sans les bits de Start et de
Stop, en plaçant le MSB à gauche et le LSB à droite) ;
- la ligne « Hexadécimal » donne la valeur en hexadécimal de ces octets ;
- la ligne « Message » donne les caractères ASCII correspondant aux octets transmis.

Q59. Compléter les 4 cases vides du document réponses page DR-SP3.

Q60. Déduire la valeur du paramètre Ki transmis.

Q61. Discuter de la cohérence entre cette valeur et celle du paramètre Ki donnée


précédemment.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page S-SP9 sur 9
Épreuve E4
19NC-SN4SNEC1 Sciences Physiques - Sujet
DOCUMENTS RÉPONSE À RENDRE AVEC LA COPIE
Partie 2 - Sciences Physiques

Réponses aux questions : Q44 et Q45

20·103
θext = 20 °C

18·103
θint(∞) =
Réponse thermique de l’enceinte à un échelon de puissance

τ=

12·103 14·103 16·103


10·103
8·103

t (s)
6·103
4·103
2·103
0
18

16

14

12

10

∆θ = θint - θext (°C)

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DR-SP1 sur 3
Épreuve E4
19NC-SNESNEC1 Sciences Physiques - Document réponses
Réponses à la question : Q48

Test de l’asservissement sans correcteur

θcons(t)

θext(t)
θint(t)

t (s)

Température (°C)

θext 20 °C 0 °C

ε0

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DR-SP2 sur 3
Épreuve E4
19NC-SNESNEC1 Sciences Physiques - Document réponses
Réponses à la question : Q59

90
80
70
.

60
50
t/TB
Trame RS232

40
30
20
10
0
15

0
10

-10

-15
-5
5

Tension sur la ligne (V)

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DR-SP3 sur 3
Épreuve E4
19NC-SNESNEC1 Sciences Physiques - Document réponses
DOCUMENTATION

Carte SD .......................................................................................................................... Page DOC2


DS1388 ............................................................................................................................ Page DOC3
Sondes de température PT1000 ...................................................................................... Page DOC8
Contrôleur de température PR59 ..................................................................................... Page DOC9
Capteur KE-50 ............................................................................................................... Page DOC13
Réseau informatique ...................................................................................................... Page DOC14
Table ASCII.................................................................................................................... Page DOC15

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DOC1 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
Carte SD
Une carte SD est constituée d’une partie stockage et d’un microcontrôleur qui gère la
communication et la mémoire.
Le mode de communication Multi Media Card (MMC) est
caractérisé par les signaux ci-contre.

La communication avec la carte se fait par le biais de la


liaison SPI en utilisant un protocole de commande
spécifique.

Format d’une commande

Communication with the SD card is performed by sending commands to it and receiving


responses from it.
A valid SD card command consists of 48 bits. The leftmost two bits are the start bits which are
set to (01). They are followed by a 6-bit command number and a 32-bit argument where
additional information may be provided. Next, there are 7 bits containing a Cyclic Redundancy
Check (CRC) code, followed by a single stop bit (set to 1).

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DOC2 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
DS1388

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DOC3 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
Device Addressing

The slave address byte is the first byte received following the START condition from the master device.
The slave address byte consists of a 4-bit control code. For the DS1388, this is set as 1101 binary for
read and write operations. The next three bits of the slave address byte are the block select bits (B2, B1,
B0). B2 is always logic 0 for the DS1388. These bits are used by the master device to select which of the
three blocks in the memory map are to be accessed. These bits are the three most significant bits of the
word address. The last bit of the slave address byte defines the operation to be performed. When set to
1, a read operation is selected; when set to 0, a write operation is selected.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DOC4 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
I²C Communication

Each data transfer is initiated with a START condition and terminated with a STOP condition. The
number of data bytes transferred between the START and the STOP conditions is not limited, and is
determined by the master device. The information is transferred byte-wise and each receiver
acknowledges with a ninth bit.

Acknowledge : Each receiving device, when addressed, is obliged to generate an acknowledge (ACK)
after the reception of each byte. The master device must generate an extra clock pulse, which is
associated with this acknowledge bit. The DS1388 does not generate any acknowledge bits if access to
the EEPROM is attempted during an internal programming cycle.
A device that acknowledges must pull down the SDA line during the acknowledge clock pulse in such a
way that the SDA line is stable low during the high period of the acknowledge-related clock pulse. Of
course, setup and hold times must be taken into account. A master must signal an end of data to the
slave by generating a not-acknowledge (NACK) bit on the last byte that has been clocked out of the
slave. In this case, the slave must leave the data line high to enable the master to generate the STOP
condition.
Figures 7 and 8 detail how data transfer is accomplished on the I2C bus. Depending upon the state of
the R/W bit, two types of data transfer are possible :

Data transfer from a master transmitter to a slave receiver.


The first byte transmitted by the master is the slave address. Next follows a number of data bytes. The
slave returns an acknowledge bit after each received byte. Data are transferred with the most significant
bit (MSB) first.

Data transfer from a slave transmitter to a master receiver.


The first byte (the slave address) is transmitted by the master. The slave then returns an acknowledge
bit. Next follows a number of data bytes transmitted by the slave to the master. The master returns an
acknowledge bit after all received bytes other than the last byte. At the end of the last received byte, a
NACK is returned.
The master device generates all the serial clock pulses and the START and STOP conditions. A transfer
is ended with a STOP condition or with a repeated START condition. Since a repeated START condition
is also the beginning of the next serial transfer, the bus is not released. Data are transferred with the
most significant bit (MSB) first.

I²C data transfert overview

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DOC5 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
Write Operation Slave Receiver Mode (Write Mode)

Following the START condition from the master, the device code (4 bits); the block address (3 bits); and
the R/W bit, which is logic-low, is placed onto the bus by the master transmitter. This indicates to the
DS1388 that a byte with a word address follows after the DS1388 has generated an acknowledge bit
during the ninth clock cycle. The next byte transmitted by the master is the word address and will set the
internal address pointer of the DS1388, with the DS1388 acknowledging the transfer on the ninth clock
cycle.
The master device can then transmit zero or more bytes of data, with the DS1388 acknowledging the
transfer on the ninth clock cycle. The master generates a STOP condition to terminate the data write.

Data write- Slave receiver mode

Clock and Calendar

The time and calendar information is obtained by reading the appropriate register bytes. Figure 4
illustrates the RTC registers. The time and calendar are set or initialized by writing the appropriate
register bytes. The contents of the time and calendar registers are in the binary-coded decimal (BCD)
format. The end of the month date is automatically adjusted for months with fewer than 31 days,
including corrections for leap years through 2099. The day-of-week register increments at midnight.
Values that correspond to the day-of-week are user-defined but must be sequential (i.e., if 1 equals
Sunday, then 2 equals Monday, and so on).
Illogical time and date entries result in undefined operation. The DS1388 can be run in either 12-hour or
24- hour mode. Bit 6 of the hours register is defined as the 12- or 24-hour mode-select bit. When high,
the 12-hour mode is selected. In the 12-hour mode, bit 5 is the AM/PM bit with logic-high being PM. In
the 24-hour mode, bit 5 is the 20-hour bit (20–23 hours).
Changing the 12/24 bit requires that the hours data be re-entered in the proper format.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DOC6 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
BTS Systèmes Numériques
Session 2019 Option B Électronique et Communications
Page DOC7 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
Sondes de tempé rature PT1000

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DOC8 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
Contrô leur de tempé rature PR59

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DOC9 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
BTS Systèmes Numériques
Session 2019 Option B Électronique et Communications
Page DOC10 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
A. Overview
The Serial Command Interface is a serial communications protocol, which efficiently handles the control
of the regulator. The regulator unit is acting like a slave or stand-alone unit. Each command from the
master unit results in a response from the regulator.
At startup of the regulator, the values in the internal EEPROM memory will be copied into the runtime
registers. The master can then change the EEPROM memory by first writing to the runtime registers
and then issue the RW command to write runtime registers to EEPROM. There is no need to issue a
RW command after each register change. Change all registers first, and then write to EEPROM if
needed.

Features
• RS232 serial interface, 115200 bauds, 1 start, 8 bit, 1 stop bit, no parity, no handshake (no
flowcontrol).
• Slave and/or stand-alone unit
• All communication with ASCII char
• EEPROM register holding startup regulator values
• Advanced PID regulator system, where all parameters can be adjusted
• Possibility to get the actual temperature values
• Possibility to get runtime register values
• Saving LOGG data every 20min. Can be used to check voltage/current/temp values.

B. Register
The following registers are defined for the moment, but will change prior to the software release.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DOC11 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
BTS Systèmes Numériques
Session 2019 Option B Électronique et Communications
Page DOC12 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
Capteur KE-50

Notes:

1) When calibrated at both 0% and


100% of O2, accuracy in the range
from 0-100% O2 shall be within
±1% of full scale for KE-25 and
±2% of full scale for KE-50.

2) Va = output voltage at 21% O2


V0 = output voltage at 0% O2
V100 = output voltage at 100%
O2

3) Va = output voltage at 25˚C


VH = output voltage at 40˚C
VL = output voltage at 5˚C

4) Sensors should be used under


conditions where the air exchange
is greater than 200~300ml per
minute in order to obtain the
response speed as specified in
Table 1.

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DOC13 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
Ré seau informatique
Architecture du réseau envisagé

Les réseaux informatiques


Classes d’adresses IP v4
Classe A 0 Adresse réseau Adresse machine 0.0.0.0 127.255.225.225
7 bits 24 bits
Classe B 1 0 Adresse réseau Adresse machine 128.0.0.0 191.255.225.225
14 bits 16 bits
Classe C 1 1 0 Adresse réseau Adresse machine 192.0.0.0 223.255.225.225
21 bits 8 bits
Classe D 1 1 1 0 Adresse multidestinataire (multicast) 224.0.0.0 239.255.225.225
28 bits
Classe E 1 1 1 1 0 Réservé pour usage ultérieur 240.0.0.0 247.255.225.225
27 bits

Adressage CIDR
L’adressage CIDR (Classless Inter-Domain Routing), consiste à donner en une seule représentation
l’adresse IP et le masque de sous réseau.
Exemple : la notation 91.198.174.2/27 désigne l'adresse IP 91.198.174.2 avec le masque constitué de 27
bits à 1 soit 255.255.255.224

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DOC14 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation
Table ASCII

BTS Systèmes Numériques


Session 2019 Option B Électronique et Communications
Page DOC15 sur 15
Épreuve E4
19NC-SN4SNEC1 Documentation

Vous aimerez peut-être aussi