Académique Documents
Professionnel Documents
Culture Documents
Pour faire face à la problématique de pénurie de l’eau, le Maroc a misé sur la généralisation de
l’irrigation localisée. C’est une combinaison de plusieurs solutions permettant à la fois la
rationalisation et la durabilité des modes de consommation.
Dans ce sujet, on s’intéresse à l’étude d’un système de gestion de l’irrigation localisée d’une
ferme agricole.
Figure 1
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
3
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Figure 2
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
4
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Figure 3
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
5
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Figure 4
Figure 5
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
6
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Q11. Sur le document réponse DR1, Indiquer le mot de configuration du registre ADCON0.
Q12. Sur le document réponse DR1, Indiquer le mot de configuration du registre ADCON1.
Q13. Sur le document réponse DR1et pour les valeurs de Uo égales à 1,7V et 2,97V, déterminer
les valeurs numériques respectives, en hexadécimal, fournies par le convertisseur.
Q14. Sur le document réponse DR1, Compléter la fonction de conversion.
Q18. On veut transmettre l’octet 0x9A, compléter sur le document réponse DR1, la trame
envoyée sur la broche Tx du PIC16F876.
V. Communication I2C
Les données issues des différents capteurs sont stockées dans la mémoire EEPROM 24AA128. Le
document constructeur du circuit 24AA128 est donné en annexe 3.
Q19. Quelle est la fréquence maximale de l’horloge (SCL) supportée par la mémoire ?
Q20. En consultant le document annexe 3 et le schéma structurel de l’ensemble, donner l’adresse
de la mémoire EEPROM sur le bus I2C.
La donnée de la température du sol correspond à la valeur 0x015D. On désire stocker cette valeur
en mémoire aux cases consécutives d’adresses 0x1AFE et 0x1AFF.
Q21. Sur le document réponse DR1, donner la trame I²C qui correspond à l’écriture de la valeur
de la température en mémoire.
Les adresses mémoires consécutives 0x246A et 0x246B contiennent respectivement les données
0x7B et 0x8A.
Q22. Sur le document réponse DR2, donner la trame I²C qui correspond à la lecture de ces deux
cases mémoires.
Figure 6
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
8
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Q23. Donner les caractéristiques du bus AS-i en complétant le tableau du document réponse DR2.
Q24. Calculer la durée totale d’une transaction entre une station maître AS-i et une station esclave.
Q25. Suite à une extension du système, on a procédé à l’installation d’un nouvel esclave. Donner la
trame de requête maître et réponse esclave permettant de lui affecter l’adresse 12.
Q26. On désire forcer les quatre électrovannes de l’esclave 7 à l’état 1. Donner sur le document
réponse DR2 la trame de requête maître.
Q27. Compléter sur le document réponse DR2 les chronogrammes de la trame de requête de la
station maître AS-i (le bit de contrôle de parité PB, le codage Manchester et les signaux sur le câble
AS-i).
Figure 7
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
9
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Dans cette partie, on se propose d’étudier la description VHDL du circuit qui traite le signal
numérique issu du capteur figure 8.
Clk Générateur
H1
D’un signal H_1
rectangulaire
Figure 8
Barème de notation
Document réponse : DR 1
Q11. ADCON0
Q12. ADCON1
Q13.
Uo(V) Valeur numérique N
1,7
2,97
Q14.
Int conversion(char channel) {
int N ;
channel<<= 3; // décalage de 3 bit vers la gauche de la valeur channel
ADCON0 &= 0xC7 ; // mise à 0 des bits de choix du canal
ADCON0 |= channel ; // changer le canal par le paramètre channel
.....................................; // démarrage de la conversion
while (ADCON0.GO_DONE) ; // attente fin de conversion
N=........................+...................* 256 ; // calcul de la valeur de N
.......................; }
Q18.
Signal ligne avant adaptation
5V
Ligne au repos
Q21.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
11
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Document réponse : DR 2
Q22.
Q23.
Q25.
Trame de requête du maître
Q26.
Trame de requête du maître
Q27.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
12
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Document réponse : DR 3
Q28.
MP1GV
Nm
Document réponse : DR 4
Q34.
Library ieee;
use ieee.std_logic_1164.all;
entity registre is
port( H : …………………………………………………………. ;
Data :………………………… …………………………………. ;
N : …………………………………………………………….);
end registre;
architecture RTL of registre is
begin
process(…………..)
begin
if (………………………………..….) then
N <= ………………………………;
end if;
end process;
end RTL;
begin
u1:gene_signal port map(………………………………………………...…………..);
u2:compteur port map ( ……………………………………………………………);
u3:register port map (…………………………………………………………….);
end RTL;
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
14
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
ANNEXE 1
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
15
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
16
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
ANNEXE 2
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
17
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
18
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
ANNEXE 3
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
19
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
20
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
21
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
22
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
ANNEXE 4
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
23
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
24
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
25
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25