Vous êtes sur la page 1sur 25

Examen National du Brevet de Technicien Supérieur Page

Session Mai 2019 1


Centre National de l'Évaluation, des Examens et de l’Orientation
- Sujet - 25

Filière : Systèmes Electroniques - SE - Durée : 4H


Épreuve : Electronique Coefficient : 35

Gestion d’irrigation d’une ferme agricole

Pour faire face à la problématique de pénurie de l’eau, le Maroc a misé sur la généralisation de
l’irrigation localisée. C’est une combinaison de plusieurs solutions permettant à la fois la
rationalisation et la durabilité des modes de consommation.

Dans ce sujet, on s’intéresse à l’étude d’un système de gestion de l’irrigation localisée d’une
ferme agricole.

Le système étudié est constitué des blocs suivants (Figure1) :

- Un système d’alimentation qui permet de combiner les trois sources d’énergie :


photovoltaïque, réseau électrique et groupe électrogène afin de répondre efficacement au besoin
énergétique de la ferme.
- Une station de pompage qui assure le remplissage du bassin de stockage et le lancement de
l’irrigation. Cette station est commandée par un automate programmable industriel (API)
- Un système de contrôle de l’irrigation, qui permet de collecter les mesures des différents
capteurs implantés dans la serre (capteur de débit d’irrigation, capteurs de température et d’humidité
du sol, capteurs de température et d’humidité de l’air, capteur du rayonnement global, capteur de
croissance des plantes… etc.) et les transférer via une carte d’acquisition vers une salle de contrôle.
- La salle de contrôle reçoit ces données et assure d’une part l’archivage et l’affichage des
grandeurs mesurées et d’autre part la prise de décision de l’irrigation, selon des algorithmes adaptés à
la nature de culture et au stade de croissance. L’ordre d’irrigation est envoyé vers la carte
d’acquisition à travers une liaison sans fils. Cette liaison transmet ces ordres vers l’automate
programmable industriel, qui gère l’ouverture et la fermeture des électrovannes.
La description fonctionnelle du système d’irrigation d’une serre est donnée à la figure 2.
Le schéma de principe de la carte d’acquisition des différentes grandeurs de la serre
(Température, humidité, ensoleillement…etc.) est fourni à la figure 3.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
2
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

Vue générale du système

Figure 1
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
3
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

Schéma fonctionnel du système d’irrigation

Figure 2
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
4
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

Schéma de principe de la carte d’acquisition

Figure 3
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
5
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

I. Capteur de température LM335.


Le circuit LM335 est un capteur de température qui délivre une tension Uz linéairement
proportionnelle à la température ambiante T(°C) dans la serre. Le montage de conditionnement est
donné à la figure 4. Les amplificateurs opérationnels sont supposés parfaits.
 A T = 0°C, Uz(0) = Uz0 = 2.73V.
 Sensibilité du capteur : S = 10mV/°C.

Figure 4

Q1. Exprimer Uz en fonction de T(°C).


Q2. Exprimer Vr en fonction de R7, R8 et Vcc.
Q3. Sachant que R4 = R5 et R9 = R10, montrer que l’expression de Vs s’écrit sous la forme :
Vs = 5.(V1 – V2)
Q4. En déduire l’expression de Vs en fonction de T(°C).

II. Capteur d’humidité HIH_5030.


Le capteur HIH_5030 délivre une tension proportionnelle à l’humidité ambiante, selon la
caractéristique UO(V) = f(RH(%)) (figure 5) :

Figure 5
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
6
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

Q5. Calculer la sensibilité du capteur en V/RH%.


Q6. Déterminer l’expression de la tension UO délivrée par ce capteur en fonction de l’humidité
relative RH.
Q7. Calculer les valeurs de la tension UO pour RH=30% et RH=70%.

III. Etude du convertisseur analogique numérique CAN du PIC16F876


La sortie du capteur est connectée à l’entrée analogique RA0/AN0 du microcontrôleur PIC (voir
annexe 1), ce qui permettra d’avoir la valeur numérique correspondante à l’humidité ambiante.
Q8. Donner le principe de conversion utilisé par le CAN intégré au PIC.
Q9. Donner la résolution du CAN intégré au PIC.
Q10. Sachant que Vref + = Vcc= 5V et Vref - = 0V, calculer la valeur numérique du quantum.

La configuration du convertisseur est la suivante :


 Fréquence d’échantillonnage : fosc/32.
 Activation du canal AN0.
 Justification à droite de la valeur numérique.
 Seules les entrées AN0, AN1, AN2, AN3 et AN4 sont analogiques.

Q11. Sur le document réponse DR1, Indiquer le mot de configuration du registre ADCON0.
Q12. Sur le document réponse DR1, Indiquer le mot de configuration du registre ADCON1.
Q13. Sur le document réponse DR1et pour les valeurs de Uo égales à 1,7V et 2,97V, déterminer
les valeurs numériques respectives, en hexadécimal, fournies par le convertisseur.
Q14. Sur le document réponse DR1, Compléter la fonction de conversion.

IV. Etude de la liaison RS485


La connexion entre le microcontrôleur Pic16F876, l’automate programmable industriel et la carte
de communication est assurée par le réseau de terrain Modbus. Ce réseau se base sur la liaison
RS485. Le circuit MAX485 assure l’adaptation des niveaux de tension entre le PIC et l’API. Le
document constructeur de ce circuit est donné en annexe 2.
Q15. Donner la vitesse de transmission maximale du circuit MAX485 et le nombre de
transmetteurs supportés par la ligne RS485.
La transmission se fait à 9600 bauds, 8 bits de données, 1 bit de start, parité paire et 1 bit de stop.
Q16. Avec ces caractéristiques, combien de bits sont nécessaires à la transmission d’un octet ?
Q17. Calculer la durée de transmission d’un caractère.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
7
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

Q18. On veut transmettre l’octet 0x9A, compléter sur le document réponse DR1, la trame
envoyée sur la broche Tx du PIC16F876.

V. Communication I2C
Les données issues des différents capteurs sont stockées dans la mémoire EEPROM 24AA128. Le
document constructeur du circuit 24AA128 est donné en annexe 3.
Q19. Quelle est la fréquence maximale de l’horloge (SCL) supportée par la mémoire ?
Q20. En consultant le document annexe 3 et le schéma structurel de l’ensemble, donner l’adresse
de la mémoire EEPROM sur le bus I2C.

La donnée de la température du sol correspond à la valeur 0x015D. On désire stocker cette valeur
en mémoire aux cases consécutives d’adresses 0x1AFE et 0x1AFF.
Q21. Sur le document réponse DR1, donner la trame I²C qui correspond à l’écriture de la valeur
de la température en mémoire.

Les adresses mémoires consécutives 0x246A et 0x246B contiennent respectivement les données
0x7B et 0x8A.
Q22. Sur le document réponse DR2, donner la trame I²C qui correspond à la lecture de ces deux
cases mémoires.

VI. Etude du bus AS-i :


L’automate programmable contrôle les états des capteurs et actionneurs tout ou rien à travers le
bus AS-i dont les détails sont donnés en annexe 4. Le schéma de la structure de commande est donné
à la figure 6.

Figure 6
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
8
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

Q23. Donner les caractéristiques du bus AS-i en complétant le tableau du document réponse DR2.
Q24. Calculer la durée totale d’une transaction entre une station maître AS-i et une station esclave.
Q25. Suite à une extension du système, on a procédé à l’installation d’un nouvel esclave. Donner la
trame de requête maître et réponse esclave permettant de lui affecter l’adresse 12.
Q26. On désire forcer les quatre électrovannes de l’esclave 7 à l’état 1. Donner sur le document
réponse DR2 la trame de requête maître.
Q27. Compléter sur le document réponse DR2 les chronogrammes de la trame de requête de la
station maître AS-i (le bit de contrôle de parité PB, le codage Manchester et les signaux sur le câble
AS-i).

VII. Commande de la station de pompage :


Le remplissage du réservoir de stockage est commandé par l’automate programmable industriel
(API), selon le cycle de fonctionnement suivant :
- Le démarrage d’un cycle est lancé lorsque le niveau de remplissage du bassin est bas et
l’interrupteur marche m est actionné.
- La pompe MP1 démarre à grande vitesse (MP1GV) jusqu’à ce que le niveau de remplissage du
bassin soit au milieu.
- Ensuite, la pompe MP1 repasse à petite vitesse (MP1PV) jusqu’à ce que le niveau de
remplissage du bassin soit haut.
Q28. Compléter le GRAFCET de commande sur le document réponse DR3.
Les variables X1, X2 et X3 représentent respectivement les états logiques des étapes 1, 2 et 3.
Q29. Déterminer l’expression logique de l’action MP1GV.
Q30. Déterminer l’expression de la condition d’activation de l’étape 3.

VIII. Capteur de la vitesse du vent


Dans la station météorologique externe, la mesure de la vitesse du vent est effectuée à l’aide d’un
anémomètre (figure 7), chaque fois que la roue à aubes (la partie mobile de l’anémomètre) effectue 1
tour, 4 impulsions se présentent sur le signal Va.

Figure 7
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
9
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

Dans cette partie, on se propose d’étudier la description VHDL du circuit qui traite le signal
numérique issu du capteur figure 8.

Registre Mot de 8 bits


Compteur Q N
Va H Data image de la vitesse
Q 8 N
8 du vent N
RAZ H

Clk Générateur
H1
D’un signal H_1
rectangulaire

Figure 8

Sur le document réponse DR3 et DR4, compléter :


Q31. L’entité du programme VHDL du générateur du signal rectangulaire.
Q32. L’entité du programme VHDL du compteur.
Q33. L’architecture du programme VHDL du compteur.
Q34. L’entité et l’architecture du programme VHDL du registre.
Q35. L’entité du programme VHDL du circuit complet.
Q36. Les composants du programme VHDL du circuit complet.
Q37. L’architecture du programme VHDL du circuit complet.

Barème de notation

Q1 1 point Q11 1 point Q21 2 points Q31 1 point


Q2 1 point Q12 1 point Q22 2 points Q32 1 point
Q3 2 points Q13 1 point Q23 1 point Q33 1 point
Q4 1 point Q14 1 point Q24 1 point Q34 1 point
Q5 1 point Q15 1 point Q25 1 point Q35 1 point
Q6 1point Q16 1 point Q26 1 point Q36 1 point
Q7 1 point Q17 1 point Q27 1 point Q37 1 point
Q8 1 point Q18 1 point Q28 1 point
Q9 1 point Q19 1 point Q29 1 point
Q10 1 point Q20 1 point Q30 1 point
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
10
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

Document réponse : DR 1
Q11. ADCON0

ADCS1 ADCS0 CHS2 CHS1 CHS0 GO/DONE ****** ADON


******

Q12. ADCON1

ADFM ****** ****** ****** PCFG3 PCFG2 PCFG1 PCFG0


****** ****** ******

Q13.
Uo(V) Valeur numérique N
1,7
2,97

Q14.
Int conversion(char channel) {
int N ;
channel<<= 3; // décalage de 3 bit vers la gauche de la valeur channel
ADCON0 &= 0xC7 ; // mise à 0 des bits de choix du canal
ADCON0 |= channel ; // changer le canal par le paramètre channel
.....................................; // démarrage de la conversion
while (ADCON0.GO_DONE) ; // attente fin de conversion
N=........................+...................* 256 ; // calcul de la valeur de N
.......................; }
Q18.
Signal ligne avant adaptation

5V

Ligne au repos
Q21.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
11
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

Document réponse : DR 2
Q22.

Q23.

Longueur maximale du bus AS-i ………………


Nombre d’entrée/sorties sur une station esclave ………………
Nombre maximal d’esclave sur le bus ………………

Q25.
Trame de requête du maître

Trame de réponse de l’esclave.

Q26.
Trame de requête du maître

Q27.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
12
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

Document réponse : DR 3
Q28.

MP1GV

Nm

Q31. entity gene_signal is


port( Clk : ………………………………………………….;
H _1 :……… ………………………………………………….);
.................................................;
Q32. Q33
Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity compteur is
port( H ,RAZ :………………………………………………………..;
Q : ……………………………………………………………………);
....................................................;
architecture RTL of compteur is
signal n : …………………………………………………………….;
begin
process(H,RAZ)
begin
if (RAZ = '1') then
n <= …………………………………….;
elsif (…………………………………….) then
n <= n + 1;
end if;
end…………………………;
Q <= …………………………………;
end………………………………………..;
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
13
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

Document réponse : DR 4
Q34.
Library ieee;
use ieee.std_logic_1164.all;

entity registre is
port( H : …………………………………………………………. ;
Data :………………………… …………………………………. ;
N : …………………………………………………………….);
end registre;
architecture RTL of registre is
begin
process(…………..)
begin
if (………………………………..….) then
N <= ………………………………;
end if;
end process;
end RTL;

Q35. Q36. Q37.


Library ieee;
use ieee.std_logic_1164.all;
entity compteur_impulsion is
port( …………………………………………………………. ;
………………………………………………………… );
end compteur_impulsion;
architecture RTL of registre is
signal H_1 : std_logic;
signal Q : std_logic_vector(7 downto 0);
component gene_signal is
port ( Clk :………………… ……………………………….;
H _1 :………………… ………………………………);
end component;
component compteur is
port( H ,RAZ :………… ……………………….……………;
Q :………… ……………………………………);
end component;
component registre is
port( H : ………………………………….…………… ;
Data : ………………………………….……………;
N : ………………………………….…………….);
end component;

begin
u1:gene_signal port map(………………………………………………...…………..);
u2:compteur port map ( ……………………………………………………………);
u3:register port map (…………………………………………………………….);
end RTL;
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
14
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

ANNEXE 1
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
15
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
16
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

ANNEXE 2
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
17
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
18
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

ANNEXE 3
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
19
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
20
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
21
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
22
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

ANNEXE 4
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
23
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
24
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2019 -
25
Filière : Systèmes Electroniques - SE - Épreuve : Electronique 25

Vous aimerez peut-être aussi