Vous êtes sur la page 1sur 27

Examen National du Brevet de Technicien Supérieur

Page
Session de Mai 2015
- Sujet - 1
Centre National de l'Évaluation, des Examens et de l’Orientation
27
Filière: Systèmes Electroniques SE Durée : 4 heures
Épreuve de: Electronique Coefficient : 35

Localisation de fuites dans une canalisation


d’approvisionnement en eau potable.

Présentation
Introduction.
Dans de nombreux réseaux de distribution, une forte proportion de l’eau peut se perdre pendant le
transport entre les sites de traitement et les zones de consommation.

Les fuites se produisent dans les différents éléments constituant le réseau d’approvisionnement : vannes,
conduites, branchements, … Les causes de ces fuites sont multiples : corrosion, pressions excessives
d’eau, vibrations dues à la circulation…

Ces fuites entrainent l’endommagement des réseaux de distribution d’eau, des fondations des routes et
des bâtiments voisins.

Il existe aussi un risque pour la santé publique puisque des contaminants peuvent s’infiltrer dans les
conduites d’eau.

Ainsi, la détection et la localisation des fuites sont importantes pour l’exploitation des réseaux de
distribution de l’eau potable.

Le schéma de la station d’eau étudiée est celui de la figure 1.


Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 2
27

Figure 1 : Schéma synoptique de la station

CP1, CP2, CP3 : capteurs de pression.


V1, V2, V3 : vannes de vidange des réservoirs.
EV1, EV2 : électrovannes d’ouverture/fermeture des
réservoirs sources.
EV3 : électrovannes d’ouverture/fermeture du réservoir
utilisation.
P : pompe.
N1, N2, N3 : capteurs de niveaux des réservoirs.
M1, M2, M3, … Mn : sondes acoustiques.
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 3
27
Ce système de distribution d’eau est constitué de deux réservoirs sources qui alimentent en eau potable
un réservoir d’utilisation.

 Les réservoirs sources 1 et 2 sont équipés de :


 Deux vannes manuelles de vidange (V1 et V2) qui servent au nettoyage du réservoir ;
 Deux électrovannes (EV1 et EV2) qui servent à l’approvisionnement du réservoir
d’utilisation ;
 Deux capteurs de niveau (N1 et N2) ;
 Le réservoir d’utilisation est équipé d’une vanne manuelle (V3), d’une électrovanne (EV3) et d’un
capteur de niveau (N3).
 Trois capteurs de pression (CP1, CP2 et CP3), installés aux extrémités de la canalisation permettent
de relever les pressions en ces points.
 Des capteurs de son (sondes acoustiques M1 à Mn), installés le long de la canalisation, servent à
détecter les lieux des fuites éventuelles d’eau.

Principe de détection et de localisation de la fuite.

Deux capteurs acoustiques, installés de part et d’autre de la position suspecte de la fuite, délivrent
chacun une intensité sonore, en dB, image de la distance qui sépare le capteur de la fuite.

L’émetteur associé à chaque capteur envoie l’intensité sonore captée au système de réception et de
traitement par une modulation de type 16-QAM.

La distance séparant les deux capteurs et l’intensité sonore permettent de calculer la position de la fuite.

Figure 2 : Principe de localisation des fuites

Le système de réception et traitement reçoit les intensités sonores issues de tous les capteurs installés le
long de la canalisation, il les traite et détermine les deux capteurs qui ont délivré les plus fortes intensités
sonores, dans la bande fréquentielle de 20 Hz à 5 kHz.
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 4
27

La figure 3 représente le schéma fonctionnel du système étudié composé de :

 Deux réservoirs sources contrôlés par deux automates API esclaves (1 et 2), qui assurent la
gestion des deux réseaux ASI interconnectant les capteurs et les électrovannes.

 Une carte à base de microcontrôleur permet :


 La réception des signaux issus des sondes acoustiques détectrices de fuites.
 La mémorisation des événements (dates des fuites) dans une mémoire EEPROM.
 La communication en réseau MODBUS via un adaptateur RS485.

 Un automate API maître 1, qui gère le réseau MODBUS interconnectant la carte à


microcontrôleur et les deux API esclaves 1 et 2.

 Un système de supervision, placé à coté du réservoir Utilisation, qui assure les tâches suivantes :
 Conduite de l’automate API maître 2, qui gère un réseau ASI composé d’un capteur de
niveau N3, un capteur de pression CP3, une pompe P et une électrovanne EV3.
 Conduite de l’API maître 1 à travers une liaison sans fil.

Dans ce sujet, l’étude portera sur :


 L’acquisition et le traitement de la pression (capteurs CPi)
 Réseau MODBUS et bus I2C
 Programmation de l’UART et du bus I2C du microcontrôleur.
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 5
27

Liaison sans fil


Point a point (3G)

Récepteur + Automate Automate


démodulateur sondes PORTB Programmable Programmable
acoustiques (API) (API)
Microcontrôleur
Maître 1 Maître 2 Supervision
PIC16F876
RA0
RA1

EEPROM SDA
SCL Bus ASI
TX Adaptateur
RS485
RX
EV3 CP3 P N3
Réseau MODBUS

Commande réservoir 1 Commande réservoir 2


(API) (API)
Esclave 1 Esclave 2
Bus ASI Bus ASI

EV1 CP1 N1 EV2 CP2 N2

Figure 3 : Schéma fonctionnel du système


Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 6
27

VCC 5V

U1
9 21
OSC1/CLKIN RB0/INT
10 22
OSC2/CLKOUT RB1
1 23
MCLR/Vpp/THV RB2
Réception sondes 24
RB3/PGM
2 25
Et démodulation RA0/AN0 RB4
3
RA1/AN1 RB5
26 R2 R1
4 27 4.7k 4.7k
RA2/AN2/VREF- RB6/PGC
5 28
RA3/AN3/VREF+ RB7/PGD
6
RA4/T0CKI
7 11
RA5/AN4/SS RC0/T1OSO/T1CKI
12
RC1/T1OSI/CCP2 U3
13
RC2/CCP1
14 6 1
RC3/SCK/SCL SCK A0
15 5 2
RC4/SDI/SDA SDA A1
16 7 3
RC5/SDO WP *A2
17
RC6/TX/CK
18 EEPROM 24AA64
RC7/RX/DT
PIC16F876

U4
1 7
RO B D-
2
RE
3
DE
4 6
DI A D+
Note : le circuit MAX 487 gère la communication dans le BUS RS485
MAX487

Figure 4 : Schéma de principe Partiel du système


.aeeesyssyssystème
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 7
27

Partie 1 : Acquisition et traitement de la pression.


La chaine d’acquisition et de traitement de la pression est constituée d’un capteur de pression selon le
schéma suivant :

Capteur de pression Conditionneur CAN :: 8bits


(Transducteur)
Transducteur
Etude du transducteur et du conditionneur.
Le transducteur est réalisé par une jauge de contrainte à pont complet dont α = K.P
Avec :
K : constante du transducteur ;
P : la pression mesuré en Bar.
Le conditionneur est réalisé par un amplificateur d’instrumentation selon le schéma ci-dessous :

Les amplificateurs opérationnels sont supposés parfaits.


Q.1 Déterminer, par rapport à la masse, les expressions des potentiels V1 et V2 en fonction de E
et α. En déduire l’expression de Ve en fonction de E et α ;
Q.2 Montrer que l’expression de Vs peut s’écrire sous la forme :
 2 Ra 
Vs  1  .Ve
 Rg 
Q.3 Exprimer Vs en fonction de Ra, Rg, E, K et P ;
Q.4 Pour une pression maximale Pmax=25Bar de la plage de mesure, on veut avoir Vs=5V ;
Déterminer la valeur de Rg sachant que, Ra=10kΩ, E=10V, K=1mV/V.Bar.
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 8
27

Etude du capteur de pression utilisé.


Le capteur de pression utilisé est de marque Swagelok Série PTU-S-AG25-22AA-T (Voir Annexe 1).
A partir de la documentation technique du capteur:

Q.5 Déterminer la plage nominale de la pression positive et la plage de variation du signal de sortie
correspondante pour le capteur de pression de référence PTU-S-AG25-22AA-T.
Q.6 Quel est le temps de réponse maximum pour ce capteur ?
Q.7 Pour satisfaire la demande croissante en eaux potable, on a prévue une augmentation de la
capacité (volume) du réservoir. Proposer une référence pour le nouveau capteur de pression, de
la même série, capable de mesurer la nouvelle plage de pression de 0 à 60Bar.

Etude du convertisseur analogique numérique.


La conversion de la tension Vs, issue du capteur de la pression est réalisée par le circuit ADC0804.
A partir de la documentation technique du convertisseur de l’annexe 2:
Q.8 Quel est le temps de conversion maximum pour une fréquence d’horloge de 1MHz ?
Q.9 Quel est le principe de conversion de ce convertisseur ? Quelle est sa résolution ?

Pour une tension de référence du convertisseur égale à 5V :


Q.10 Exprimer puis calculer le quantum q du convertisseur ;
Q.11 Déterminer l’expression de la sortie numérique N du convertisseur en fonction de Vs et du
quantum q ;
Q.12 Sachant que la sortie du conditionneur Vs = 0.2 x P, Remplir le tableau du document
réponse 1.

Etude du la sérialisation des données (Conception VHDL).


Un circuit FPGA assure la communication de chaque capteur ASI et permet l’adaptation des données sur
le bus ASI. Parmi les fonctions implémentées dans ce circuit on trouve la sérialisation réalisée par un
registre parallèle-série piloté par une horloge H.

Convertisseur Sérialisation
Capteur + Analogique/ 84 des données
conditionneur Numérique D0..D3
D0.. D7 S

Circuit FPGA
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 9
27

Sur le document réponse 1 :

Q.13 Compléter l’entêté (entity) du programme;


Q.14 Commenter les lignes a, b et c;
Q.15 Compléter les lignes d et e ;
Q.16 Si on remplace, dans le programme VHDL, la ligne « variable s1: std_logic; » par la ligne
« signal s1: std_logic; » ; quels seront les changements qu’on doit apporter au programme ?

Partie 2 : Réseau MODBUS et bus I2C.


Etude du réseau MODBUS.
L’automate API maître 1 connecté au réseau MODBUS, gère la communication avec le PIC situé à
l’adresse 02 et les deux automates programmables situés aux adresses 03 et 04.
Ces deux automates gèrent les électrovannes et les capteurs de pressions connectés sur le réseau ASI.

En exploitant la documentation MODBUS RTU donnée en annexe 3 :


Q.17 Combien de dispositifs maîtres peuvent être présents sur un réseau au protocole MODBUS ?
Q.18 Combien de dispositifs esclaves peuvent être présents sur un réseau au protocole MODBUS ?
Q.19 Quel est le numéro de la fonction MODBUS permettant de lire la valeur d'un mot de sortie ?
Q.20 Le maître MODBUS veut lire l’état du capteur TOR du niveau N2 du réservoir source 2. La
valeur du niveau est un bit d’entrée situé à l’adresse hexadécimale 1205 dans la mémoire
interne de l’esclave d’adresse 04.
Note :
 « N20 » représente la valeur de ce bit d’entrée.
 Le calcul du CRC16 n’est pas demandé.

Q.20.1. Compléter la trame de la demande du maître et nommer chaque champs et sa valeur


correspondante en hexadécimal ; (Document Réponse 2)
Q.20.2. Compléter la trame de la réponse de l’esclave et nommer chaque champs et sa valeur
correspondante en hexadécimal ; (Document Réponse 2)

Q.21 Dans le cas où le niveau N2 est atteint, le maître doit ouvrir l’électrovanne EV2, en forçant à
« 1 » le bit de sortie d’adresse hexadécimale 1444 dans la mémoire interne de la station
d’adresse 04.
Compléter la trame de la demande du maître et nommer chaque champs et sa valeur correspondante
en hexadécimal ; (Document Réponse 2)
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 10
27

Etude du bus I2C.


Les informations concernant les fuites sont stockées dans une mémoire EEPROM 24AA64 de type
série, gérée par le microcontrôleur 16F876 à travers le bus I2C.

Le document technique de la mémoire est donné en Annexe 4.

Q.22 Quelle la taille en octet de cette mémoire ;


Q.23 Combien de bits sont nécessaires pour adresser ce type de mémoire ?
Q.24 A partir du schéma de principe et du document technique de la mémoire, déterminer l’adresse
de la mémoire sur le bus I2C ;
Q.25 Le maître veut écrire la donnée 0x68 à l’adresse 0x165 de la mémoire EEPROM,
Donner la trame I2C résultante correspondante à cette opération. (Document Réponse 2)

Partie 3 : Programmation du PIC (UART et I2C)


Le circuit U1 du le schéma de principe est un PIC de type 16F876 rythmé par un oscillateur à
quartz de 4Mhz (voir Annexe 5).
Ce PIC reçoit les données provenant des sondes acoustiques, et les stocke dans l’EEPROM série via le
bus I²C. Pour communiquer ces données au réseau MODBUS, le pic utilise son module UART.
Etude de la communication à travers l’UART.
Le document réponse représente une partie du programme assembleur, qui assure l’émission sur
le module UART.
On donne : BRGH= 1.
Q.26 Commenter les lignes a, b, c et d ; (Document Réponse 2)
Q.27 A partir de la valeur affectée dans le programme au registre SPBRG, calculer le débit de
la transmission ;
Q.28 Sur la ligne e du programme, déterminer la valeur de l’octet à mettre dans le registre
RCSTA, sachant que la donnée est codée sur 8bits. (Document Réponse 2)
Etude de la communication à travers le bus I²C.
Le module MSSP du PIC est utilisé en mode I2C :
Q.29 Sachant que la fréquence de transmission est de 100KHz, donner la valeur à mettre dans
le registre SSPADD ;
Q.30 Le microcontrôleur est configuré en maître I2C et le module SSP est activé, donner la
valeur à mettre dans le registre SSPCON pour respecter cette configuration (Sur le document
réponse 3);
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 11
27

Q.31 La fonction I2C_Start en langage C permet l’envoi d’une condition de START sur le
bus I2C suivant les étapes ci-dessous :
- Positionner le bit SEN à « 1 » ;
- Attendre que le bit SSPIF passe à « 1 » ;
- Remettre le bit SSPIF à 0.
Compléter la fonction I2C_Start sur document réponse 3.

Q.32 La fonction I2C_Send en langage C permet l’envoi d’une donnée sur le bus I2C suivant
les étapes ci-dessous :
- Mettre la donnée dans le registre SSPBUF ;
- Attendre que le bit SSPIF passe à « 1 » ;
- Remettre le bit SSPIF à « 0 ».
Compléter la fonction I2C_Send sur document réponse 3.

Barème de notation :

Q.1 : 1.5 points Q.2 : 1 point Q.3 : 1 point Q.4 : 1 point


Q.5 : 2 points Q.6 : 2 points Q.7 : 2 points Q.8 : 2 points
Q.9 : 2 points Q.10 : 1 point Q.11 : 1 point Q.12 : 1.5 points
Q.13 : 1.5 points Q.14 : 1.5 points Q.15 : 1 point Q.16 : 2 point
Q.17 : 1 point Q.18 : 1 point Q.19 : 2 points Q.20 : 1.5 + 1.5 points
Q.21 : 2 points Q.22 : 2 points Q.23 : 2 points Q.24 : 1 point
Q.25 : 2 points Q.26 : 2 points Q.27 : 1.5 points Q.28 : 1 point
Q.29 : 1.5 points Q.30 : 1 point Q.31 : 1.5 points Q.32 : 1.5 points
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 12
27

Document Réponse 1.
Q.12
Pression en entrée du Tension en sortie du Valeur numérique en Valeur numérique en
capteur de pression capteur de pression sortie du convertisseur sortie du convertisseur
(en Bar) (en V) (en décimal) (en binaire)
0
10
15

Q.13 - Q.14 - Q.15

library ieee;
use ieee.std_logic_1164.all;
Use ieee.numeric_std.all;
entity serialisation is
port ( …………………………………………..;
………………………………………….;
………………………………………….
);
end serialisation;

architecture arc_ serialisation of serialisation is


begin
process (H)
variable i: integer range 0 to 8 ;
variable s1:std_logic;
begin
if (H 'event and H='1') then -- …………………………………………(a
s1:=D(i); -- …………………………………………(b
if i = 7 then
i:=0;
else
i:=i+1;
end if;
end if;
s<=s1; -- …………………………………………(c
end ………………………………; -- (d
end ……………………………… ; -- (e
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 13
27

Document Réponse 2.

Q.20.1 Trame de requête


Adresse esclave CRC16

1205 XXXX

Q.20.2 Trame de réponse


CRC16

0N20 XXXX

Q.21 Trame de requête


CRC16

1444 XXXX

Q.25

Q.26 - Q.28
.
.
BSF STATUS, RP0
BCF STATUS, RP1 ; a) ……………………………………………….……….
BCF TRISC, 6
BCF PIE1, TXIF ;b) ………………………………………….…………….
MOVLW 0XFF ; c) …………………………………………….………….
MOVWF TRISB ;d) ………………………………………..………………….
MOVLW 0X……… ;e)
MOVWF RCSTA
MOVLW 0X24
MOVWF TXSTA
MOVLW 0X67
MOVWF SPBRG
BCF STATUS, RP0
BSF RCSTA, 7
.
.
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 14
27

Document Réponse 3.

Q.30

Q.31

void I2C_Start()
{
………………………………………………………..
………………………………………………………..
……………………………………………………….
}

Q.32
short I2C_Send(char donnee)
{
………………………………………………………..
………………………………………………………..
……………………………………………………….
return SSPCON2.ACKSTAT;
}
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 15
27
Annexe 1 : Capteurs de pression Très haute
pureté
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 16
27
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 17
27

Annexe 2: Convertisseur A/N


Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 18
27

Annexe 3: MODBUS

Les fonctions MODBUS


Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 19
27
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 20
27

Annexe 4 : EEPROM SERIE.


Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 21
27
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 22
27
Annexe 5 : Microcontrôleur 16F87X
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 23
27
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 24
27
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 25
27
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 26
27
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 27
27

Vous aimerez peut-être aussi